版權(quán)說(shuō)明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)
文檔簡(jiǎn)介
1、<p><b> 目錄</b></p><p> 1、引言.......................................................................................... 2</p><p> 2、方案設(shè)計(jì)及工作原理 ...............................
2、............................. 2</p><p> 2.1 方案設(shè)計(jì)及論證 ............................................................. 2</p><p> 2.2 工作原理 .....................................................
3、.................... 3</p><p> 2.2.1DS18B20工作原理................................................... 3</p><p> 2.2.2 LCD工作原理 ....................................................... 5<
4、;/p><p> 3、各功能模塊設(shè)計(jì)和仿真.......................................................... 8</p><p> 3.1 DS18B20溫度傳感器電路 ............................................. 8</p><p> 3.2時(shí)鐘及顯示控制
5、電路........................................................ 11</p><p> 3.3LCD顯示的實(shí)現(xiàn)電路........................................................ 12</p><p> 4、結(jié)果分析和調(diào)試..........................
6、............................................ 13</p><p> 5、體會(huì).......................................................................................... 14</p><p> 6、參考文獻(xiàn)..................
7、................................................................ 14</p><p> 7、附錄........................................................................................... 15</p><p> 7.1
8、仿真圖................................................................................. 15</p><p> 7.2實(shí)物圖................................................................................. 16</p>&l
9、t;p> 7.3 DS18B20控制程序及LED數(shù)碼管顯示程序代碼........... 16</p><p> 7.4時(shí)鐘控制程序...................................................................... 21</p><p> 7.5 LCD顯示驅(qū)動(dòng)程序 .....................
10、...................................... 23</p><p> 1—Wire數(shù)字溫度的測(cè)量及LCD顯示</p><p><b> 摘要:</b></p><p> 根據(jù)我們所學(xué)過(guò)的電子技術(shù)、EDA術(shù)、電子測(cè)量和計(jì)算機(jī)技術(shù)等知識(shí)設(shè)計(jì)具有一定功能和規(guī)模的數(shù)字信號(hào)處理、電子設(shè)備系統(tǒng)項(xiàng)目。完成該項(xiàng)目的方
11、案設(shè)計(jì)、硬件電路設(shè)計(jì)、應(yīng)用軟件系統(tǒng)設(shè)計(jì)及系統(tǒng)調(diào)試。主要是利用EDA/SOPC實(shí)驗(yàn)開(kāi)發(fā)工具,設(shè)計(jì)DS18B20測(cè)量LCD顯示和其它控制系統(tǒng),并進(jìn)行演示。</p><p> 關(guān)鍵詞:EDA,溫度傳感器,ds18b20,時(shí)序,lcd1602</p><p><b> 一、引言:</b></p><p> 隨著人們生活水平的不斷提高, EDA技術(shù)
12、無(wú)疑是人們追求的目標(biāo)之一,它所給人帶來(lái)的方便也是不可否定的,其中數(shù)字溫度計(jì)就是一個(gè)典型的例子,但人們對(duì)它的要求越來(lái)越高,要為現(xiàn)代人工作、科研、生活、提供更好的更方便的設(shè)施就需要從EDA技術(shù)入手,一切向著數(shù)字化控制,智能化控制方向發(fā)展。</p><p> 本設(shè)計(jì)所介紹的數(shù)字溫度計(jì)與傳統(tǒng)的溫度計(jì)相比,具有讀數(shù)方便,測(cè)溫范圍廣,測(cè)溫準(zhǔn)確,其輸出溫度采用數(shù)字顯示,該設(shè)計(jì)控制器使用EDA技術(shù),測(cè)溫傳感器使用DS18B20
13、,用LCD實(shí)現(xiàn)溫度顯示,能準(zhǔn)確達(dá)到以上要求。</p><p> 隨著時(shí)代的進(jìn)步和發(fā)展,EDA技術(shù)已經(jīng)普及到我們生活,工作,科研,各個(gè)領(lǐng)域,已經(jīng)成為一種比較成熟的技術(shù),本文將介紹一種基于EDA技術(shù)的數(shù)字溫度計(jì),本溫度計(jì)屬于多功能溫度計(jì),可以設(shè)置上下報(bào)警溫度,當(dāng)溫度不在設(shè)置范圍內(nèi)時(shí),可以報(bào)警。二、方案設(shè)計(jì)及工作原理:</p><p><b> 1、方案設(shè)計(jì)論證:</b>
14、;</p><p><b> 方案一:</b></p><p> 用溫度傳感器測(cè)量溫度,使用51單片機(jī)作為主芯片控制及顯示。在電路設(shè)計(jì)中,溫度測(cè)量大多都是使用傳感器,所以這是非常容易想到的,可以采用一只溫度傳感器DS18B20,此傳感器,可以很容易直接讀取被測(cè)溫度值,進(jìn)行轉(zhuǎn)換,使用89C51單片機(jī)處理傳輸?shù)男盘?hào)進(jìn)行控制及顯示,就可以滿足設(shè)計(jì)要求。</p>
15、;<p><b> 方案二:</b></p><p> 基于FPGA溫度測(cè)量及顯示,使用EP1C12實(shí)驗(yàn)開(kāi)發(fā)板以實(shí)現(xiàn)所要求的溫度測(cè)量及顯示功能。EP1C12實(shí)驗(yàn)開(kāi)發(fā)板,其EDA開(kāi)發(fā)平臺(tái)上自帶DS18B20溫度傳感器和LCD1602顯示和LED數(shù)碼管顯示,使用QuartusII軟件和VHDL語(yǔ)言編程即可實(shí)現(xiàn)設(shè)計(jì)所要求功能。</p><p> 從以上兩
16、種方案,很容易看出,采用方案二,電路在開(kāi)發(fā)板上實(shí)現(xiàn)比較簡(jiǎn)單,軟件設(shè)計(jì)也比較簡(jiǎn)單,故采用了方案二。</p><p><b> 2、工作原理:</b></p><p> 1、DS18B20工作原理</p><p> DS18B20溫度傳感器是美國(guó)DALLAS半導(dǎo)體公司最新推出的一種改進(jìn)型智能溫度傳感器,與傳統(tǒng)的熱敏電阻等測(cè)溫元件相比,它能直
17、接讀出被測(cè)溫度,并且可根據(jù)實(shí)際要求通過(guò)簡(jiǎn)單的編程實(shí)現(xiàn)9~12位的數(shù)字值讀數(shù)方式。 TO-92封裝的DS18B20的引腳排列見(jiàn)下圖,其引腳功能描述見(jiàn)表1。</p><p><b> ?。ǖ滓晥D)</b></p><p> 圖1 DS18B20</p><p> 表1 DS18B20詳細(xì)引腳功能描述</p><p>
18、 DS18B20采用3腳PR-35封裝或8腳SOIC封裝,其內(nèi)部結(jié)構(gòu)框圖如圖2所示。 </p><p> 圖2 DS18B20內(nèi)部結(jié)構(gòu)</p><p> 64位ROM的結(jié)構(gòu)開(kāi)始8位是產(chǎn)品類(lèi)型的編號(hào),接著是每個(gè)器件的惟一的序號(hào),共有48位,最后8位是前面56位的CRC檢驗(yàn)碼,這也是多個(gè)DS18B20可以采用一線進(jìn)行通信的原因。溫度報(bào)警觸發(fā)器TH和TL,
19、可通過(guò)軟件寫(xiě)入戶報(bào)警上下限。</p><p> DS18B20溫度傳感器的內(nèi)部存儲(chǔ)器還包括一個(gè)高速暫存RAM和一個(gè)非易失性的可電擦除的EERAM。高速暫存RAM的結(jié)構(gòu)為8字節(jié)的存儲(chǔ)器,結(jié)構(gòu)如圖3所示。頭2個(gè)字節(jié)包含測(cè)得的溫度信息,第3和第4字節(jié)TH和TL的拷貝,是易失的,每次上電復(fù)位時(shí)被刷新。第5個(gè)字節(jié),為配置寄存器,它的內(nèi)容用于確定溫度值的數(shù)字轉(zhuǎn)換分辨率。DS18B20工作時(shí)寄存器中的分辨率轉(zhuǎn)換為相應(yīng)精度的溫
20、度數(shù)值。該字節(jié)各位的定義如圖3所示。低5位一直為1,TM是工作模式位,用于設(shè)置DS18B20在工作模式還是在測(cè)試模式,DS18B20出廠時(shí)該位被設(shè)置為0,用戶要去改動(dòng),R1和R0決定溫度轉(zhuǎn)換的精度位數(shù),來(lái)設(shè)置分辨率。</p><p> 圖3 DS18B20字節(jié)定義</p><p> 由表1可見(jiàn),DS18B20溫度轉(zhuǎn)換的時(shí)間比較長(zhǎng),而且分辨率越高,所需要的溫度數(shù)據(jù)轉(zhuǎn)換時(shí)間越長(zhǎng)。因此,在
21、實(shí)際應(yīng)用中要將分辨率和轉(zhuǎn)換時(shí)間權(quán)衡考慮。</p><p> 表1 DS18B20溫度轉(zhuǎn)換時(shí)間表</p><p> 高速暫存RAM的第6、7、8字節(jié)保留未用,表現(xiàn)為全邏輯1。第9字節(jié)讀出前面所有8字節(jié)的CRC碼,可用來(lái)檢驗(yàn)數(shù)據(jù),從而保證通信數(shù)據(jù)的正確性。</p><p> 當(dāng)DS18B20接收到溫度轉(zhuǎn)換命令后,開(kāi)始啟動(dòng)轉(zhuǎn)換。轉(zhuǎn)換完成后的溫度值就以16位帶符號(hào)擴(kuò)展
22、的二進(jìn)制補(bǔ)碼形式存儲(chǔ)在高速暫存存儲(chǔ)器的第1、2字節(jié)。單片機(jī)可以通過(guò)單線接口讀出該數(shù)據(jù),讀數(shù)據(jù)時(shí)低位在先,高位在后,數(shù)據(jù)格式以0.0625℃/LSB形式表示。</p><p> 當(dāng)符號(hào)位S=0時(shí),表示測(cè)得的溫度值為正值,可以直接將二進(jìn)制位轉(zhuǎn)換為十進(jìn)制;當(dāng)符號(hào)位S=1時(shí),表示測(cè)得的溫度值為負(fù)值,要先將補(bǔ)碼變成原碼,再計(jì)算十進(jìn)制數(shù)值。表2是一部分溫度值對(duì)應(yīng)的二進(jìn)制溫度數(shù)據(jù)。</p><p>
23、 DS18B20完成溫度轉(zhuǎn)換后,就把測(cè)得的溫度值與RAM中的TH、TL字節(jié)內(nèi)容作比較。若T>TH或T<TL,則將該器件內(nèi)的報(bào)警標(biāo)志位置位,并對(duì)主機(jī)發(fā)出的報(bào)警搜索命令作出響應(yīng)。因此,可用多只DS18B20同時(shí)測(cè)量溫度并進(jìn)行報(bào)警搜索。</p><p> 在64位ROM的最高有效字節(jié)中存儲(chǔ)有循環(huán)冗余檢驗(yàn)碼(CRC)。主機(jī)ROM的前56位來(lái)計(jì)算CRC值,并和存入DS18B20的CRC值作比較,以判斷主機(jī)收到的ROM數(shù)據(jù)
24、是否正確。</p><p> DS18B20的測(cè)溫原理是這這樣的,器件中低溫度系數(shù)晶振的振蕩頻率受溫度的影響很小,用于產(chǎn)生固定頻率的脈沖信號(hào)送給減法計(jì)數(shù)器1;高溫度系數(shù)晶振隨溫度變化其振蕩頻率明顯改變,所產(chǎn)生的信號(hào)作為減法計(jì)數(shù)器2的脈沖輸入。器件中還有一個(gè)計(jì)數(shù)門(mén),當(dāng)計(jì)數(shù)門(mén)打開(kāi)時(shí),DS18B20就對(duì)低溫度系數(shù)振蕩器產(chǎn)生的時(shí)鐘脈沖進(jìn)行計(jì)數(shù)進(jìn)而完成溫度測(cè)量。計(jì)數(shù)門(mén)的開(kāi)啟時(shí)間由高溫度系數(shù)振蕩器來(lái)決定,每次測(cè)量前,首先將
25、-55℃所對(duì)應(yīng)的一個(gè)基數(shù)分別置入減法計(jì)數(shù)器1、溫度寄存器中,計(jì)數(shù)器1和溫度寄存器被預(yù)置在-55℃所對(duì)應(yīng)的一個(gè)基數(shù)值。</p><p> 減法計(jì)數(shù)器1對(duì)低溫度系數(shù)晶振產(chǎn)生的脈沖信號(hào)進(jìn)行減法計(jì)數(shù),當(dāng)減法計(jì)數(shù)器1的預(yù)置值減到0時(shí),溫度寄存器的值將加1,減法計(jì)數(shù)器1的預(yù)置將重新被裝入,減法計(jì)數(shù)器1重新開(kāi)始對(duì)低溫度系數(shù)晶振產(chǎn)生的脈沖信號(hào)進(jìn)行計(jì)數(shù),如此循環(huán)直到減法計(jì)數(shù)器計(jì)數(shù)到0時(shí),停止溫度寄存器的累加,此時(shí)溫度寄存器中的數(shù)
26、值就是所測(cè)溫度值。其輸出用于修正減法計(jì)數(shù)器的預(yù)置值,只要計(jì)數(shù)器門(mén)仍未關(guān)閉就重復(fù)上述過(guò)程,直到溫度寄存器值大致被測(cè)溫度值。</p><p> 另外,由于DS18B20單線通信功能是分時(shí)完成的,它有嚴(yán)格的時(shí)隙概念,因此讀寫(xiě)時(shí)序很重要。系統(tǒng)對(duì)DS18B20的各種操作按協(xié)議進(jìn)行。操作協(xié)議為:初使化DS18B20(發(fā)復(fù)位脈沖)→發(fā)ROM功能命令→發(fā)存儲(chǔ)器操作命令→處理數(shù)據(jù)。</p><p><
27、;b> 2、LCD工作原理</b></p><p> LCD 顯示模塊是一種既簡(jiǎn)便又省電的方法。文字型 LCD 顯示模塊已被廣泛的應(yīng)用于事務(wù)機(jī)、電子儀表及相關(guān)高級(jí)產(chǎn)品上。常見(jiàn)文字型LCD 模塊有16字x1行、16字x2行、20字x1行、20字x2行、20字x4行等多種規(guī)格可供選擇。</p><p> EDA開(kāi)發(fā)平臺(tái)配置了文字型 LCD 模塊,其內(nèi)部是由 LCD 顯示
28、器、LCD 驅(qū)動(dòng)器、LCD 控制器三部份所組成如圖4所示 。目前市售 LCD 模塊其控制方法均相同,LCD 模塊內(nèi)部所使用的LCD控制器均與HITACHI的HD44780兼容,此背光 LCD 模塊具有16根腳位 ( 不含背光 )。不同廠牌的模塊模塊亦可互換,其應(yīng)用方式亦均相同。具體的參數(shù)請(qǐng)參照此液晶的數(shù)據(jù)手冊(cè)。 </p><p> LCD文字型模塊,每個(gè)字符可顯示 5 * 7 或 5 * 10點(diǎn)字圖形,包含標(biāo)準(zhǔn)
29、之 ASCII 碼 ( 含大小寫(xiě)英文字母、阿拉伯?dāng)?shù)字及特殊符號(hào)等 )。 </p><p> 一、LCD 控制指令及功能:</p><p> 1. 清除顯示( Clear Display ): 將ASCII碼20H填入DDRAM,并設(shè)定地址計(jì)數(shù)AC=0,由標(biāo)將回至地址00H。</p><p> 2. 游標(biāo)歸位( Returm Home ): 設(shè)定由標(biāo)地址回歸至0
30、0h,但不清除DDRAM的內(nèi)容。AC設(shè)為0。</p><p> 3. 進(jìn)入模式( Entry Mode ): </p><p> 設(shè)定輸入一個(gè)字符后,光標(biāo)予顯示字符的位移方向。 </p><p> I/D=0,游標(biāo)向左移,AC減1; </p><p> I/D=1,游標(biāo)向右移,AC加1; </p><p>
31、SH=0,顯示字符不移動(dòng); </p><p> SH=1,I/D=0,顯示字符向左移; </p><p> SH=1,I/D=1,顯示字符向右移;</p><p> 4. 顯示開(kāi)關(guān)控制( Display on/off Control ) 設(shè)定顯示器、光標(biāo)及是否閃爍光標(biāo)控制</p><p> D=0,顯示器關(guān)閉;D=1,顯示器開(kāi)啟<
32、;/p><p> C=0,光標(biāo)不顯示;D=1,光標(biāo)顯示</p><p> B=0,游標(biāo)不閃爍;D=1,游標(biāo)閃爍</p><p> 5. 光標(biāo)及顯示器移動(dòng)( Cursor or Display Shift ) </p><p> 設(shè)定光標(biāo)或顯示器移動(dòng)方向(DDRAM內(nèi)容不變) </p><p> S/C=0,字符不
33、移動(dòng); </p><p> R/L=0,游標(biāo)向左移; </p><p> R/L=1,游標(biāo)向右移; </p><p> S/C=1,R/L=0,字符及光標(biāo)向左移;</p><p> S/C=1,R/L=1,字符及光標(biāo)向右移</p><p> 6. 功能設(shè)定( Function Set ) </p>
34、<p> 設(shè)定接口位長(zhǎng)度(DL)、顯示列數(shù)(N)與顯示字符類(lèi)型(F)功能。</p><p> DL=0,接口位長(zhǎng)度8位;DL=1,接口位長(zhǎng)度4位; </p><p> N=0,只顯示一列字符;N=1,可顯示兩列字符; </p><p> F=0,顯示5x8字型;F=1,顯示5x11字型;</p><p> 7. 設(shè)定C
35、GRAM地址( Set CGRAM Address ) </p><p> 設(shè)定CGRAM的地址計(jì)數(shù)器AC</p><p> 8. 設(shè)定DDRAM地址( Set DDRAM Address ) </p><p> 設(shè)定DDRAM的地址計(jì)數(shù)器AC</p><p> 9. 讀取忙碌旗號(hào)及地址( Read Busy and Address
36、) </p><p> 設(shè)定從CGRAM或DDRAM地址讀取忙碌旗號(hào)(BF,Busy Flag)及地址計(jì)數(shù)器AC的數(shù)據(jù)。</p><p> 10.內(nèi)存數(shù)據(jù)寫(xiě)入( Write Data to RAM ) </p><p> 將D0-D7的數(shù)據(jù)寫(xiě)入CGRAM或DDRAM內(nèi)部。(依前一筆寫(xiě)入地址而定)</p><p><b> 二
37、、LCD時(shí)序</b></p><p> LCD顯示同樣對(duì)時(shí)序要求嚴(yán)格,其時(shí)序主要分為讀時(shí)序和寫(xiě)時(shí)序如下圖。</p><p><b> 1、LCD寫(xiě)時(shí)序</b></p><p><b> 2、LCD讀時(shí)序</b></p><p> 三、各功能模塊設(shè)計(jì)和仿真:</p>
38、<p> 1、DS18B20溫度傳感器電路</p><p> DS18B20可以采用兩種方式供電,在這采用電源供電方式,DS18B20的1腳接地,2腳作為信號(hào)線,3腳接電源。</p><p> 當(dāng)DS18B20處于寫(xiě)存儲(chǔ)器操作和溫度A/D轉(zhuǎn)換操作時(shí),總線上必須有強(qiáng)的上拉,上拉開(kāi)啟時(shí)間最大為10us。采用寄生電源供電方式時(shí)VDD端接地。由于單線制只有一根線,因此發(fā)送接口必須是
39、三態(tài)的。由于DS18B20是在一根I/O線上讀寫(xiě)數(shù)據(jù),因此,對(duì)讀寫(xiě)的數(shù)據(jù)位有著嚴(yán)格的時(shí)序要求。DS18B20有嚴(yán)格的通信協(xié)議來(lái)保證各位數(shù)據(jù)傳輸?shù)恼_性和完整性。該協(xié)議定義了幾種信號(hào)的時(shí)序:初始化時(shí)序、讀時(shí)序、寫(xiě)時(shí)序。所有時(shí)序都是將主機(jī)作為主設(shè)備,單總線器件作為從設(shè)備。而每一次命令和數(shù)據(jù)的傳輸都是從主機(jī)主動(dòng)啟動(dòng)寫(xiě)時(shí)序開(kāi)始,如果要求單總線器件回送數(shù)據(jù),在進(jìn)行寫(xiě)命令后,主機(jī)需啟動(dòng)讀時(shí)序完成數(shù)據(jù)接收。數(shù)據(jù)和命令的傳輸都是低位在先。DS18B20
40、模塊生成如圖5。</p><p> 圖5 DS18B20功能模塊</p><p> 在該模塊中inclk為時(shí)鐘輸入端,DQ為ds18b20的數(shù)據(jù)總線的輸入端,sel[3..0]為數(shù)碼管位選輸出端,seg[7..0]為數(shù)碼管段選輸出,templlreture[10..0]為當(dāng)前溫度的輸出端,baojing為報(bào)警信號(hào)的輸出端。</p><p> 2、DS18B2
41、0的復(fù)位時(shí)序 </p><p> 3、DS18B20的讀時(shí)序</p><p> 對(duì)于DS18B20的讀時(shí)序分為讀0時(shí)序和讀1時(shí)序兩個(gè)過(guò)程。</p><p> 對(duì)于DS18B20的讀時(shí)隙是從主機(jī)把單總線拉低之后,在15秒之內(nèi)就得釋放單總線,以讓DS18B20把數(shù)據(jù)傳輸?shù)絾慰偩€上。DS18B20在完成一個(gè)讀時(shí)序過(guò)程,至少需要60us才能完成。</p>
42、<p> 4、DS18B20的寫(xiě)時(shí)序</p><p> 對(duì)于DS18B20的寫(xiě)時(shí)序仍然分為寫(xiě)0時(shí)序和寫(xiě)1時(shí)序兩個(gè)過(guò)程。</p><p> 對(duì)于DS18B20寫(xiě)0時(shí)序和寫(xiě)1時(shí)序的要求不同,當(dāng)要寫(xiě)0時(shí)序時(shí),單總線要被拉低至少60us,保證DS18B20能夠在15us到45us之間能夠正確地采樣IO總線上的“0”電平,當(dāng)要寫(xiě)1時(shí)序時(shí),單總線被拉低之后,在15us之內(nèi)就得釋放單總
43、線。</p><p> 5、溫度轉(zhuǎn)換命令子程序</p><p> 溫度轉(zhuǎn)換命令子程序主要是發(fā)溫度轉(zhuǎn)換開(kāi)始命令,當(dāng)采用12位分辨率時(shí)轉(zhuǎn)換時(shí)間約為750ms,在本程序設(shè)計(jì)中采用1s顯示程序延時(shí)法等待轉(zhuǎn)換的完成。溫度轉(zhuǎn)換命令子程序流程圖如上圖,圖9所示,具體程序見(jiàn)附錄。</p><p> 圖9 溫度轉(zhuǎn)換流程圖 圖10 計(jì)算溫度流程圖&
44、lt;/p><p><b> 6、計(jì)算溫度子程序</b></p><p> 計(jì)算溫度子程序?qū)AM中讀取值進(jìn)行BCD碼的轉(zhuǎn)換運(yùn)算,并進(jìn)行溫度值正負(fù)的判定,其程序流程圖如圖10所示。</p><p> 2、時(shí)鐘及顯示控制電路</p><p> 多功能數(shù)字鐘應(yīng)該具有的功能有:顯示時(shí)-分-秒、整點(diǎn)報(bào)時(shí)、小時(shí)和分鐘可調(diào)等基本
45、功能。首先要知道鐘表的工作機(jī)理,整個(gè)鐘表的工作應(yīng)該是在1Hz信號(hào)的作用下進(jìn)行,這樣每來(lái)一個(gè)時(shí)鐘信號(hào),秒增加1秒,當(dāng)秒從59秒跳轉(zhuǎn)到00秒時(shí),分鐘增加1分,同時(shí)當(dāng)分鐘從59分跳轉(zhuǎn)到00分時(shí),小時(shí)增加1小時(shí),但是需要注意的是,小時(shí)的范圍是從0~23時(shí)。</p><p> 在實(shí)驗(yàn)中為了顯示的方便,由于分鐘和秒鐘顯示的范圍都是從0~59,所以可以用一個(gè)3位的二進(jìn)制碼顯示十位,用一個(gè)四位的二進(jìn)制碼(BCD碼)顯示個(gè)位,對(duì)
46、于小時(shí)因?yàn)樗姆秶菑?~23,所以可以用一個(gè)2位的二進(jìn)制碼顯示十位,用4位二進(jìn)制碼(BCD碼)顯示個(gè)位。</p><p> 開(kāi)發(fā)板提供50Mhz的時(shí)鐘頻率,而時(shí)鐘需要的是1Hz時(shí)鐘信號(hào),因此為了得到準(zhǔn)確的1Hz信號(hào),必須對(duì)輸入的系統(tǒng)時(shí)鐘進(jìn)行分頻。其封裝見(jiàn)附件總電路圖的shizhong模塊。</p><p> 3、LCD顯示的實(shí)現(xiàn)電路</p><p> 1、L
47、CD顯示電路組成</p><p> LCD顯示包括時(shí)鐘分頻(圖6)、和LCD控制(圖7)模塊。</p><p> 其中時(shí)鐘分頻顧名思義是對(duì)整個(gè)LCD顯示提供頻率脈沖,計(jì)數(shù)器對(duì)LCD控制器控制,LCD控制模塊實(shí)現(xiàn)對(duì)LCD的初始化,指令寫(xiě)入及內(nèi)容的編碼顯示。</p><p> LCD顯示控制模塊,其中M[5..0]為計(jì)數(shù)器位數(shù)輸入端,clk1為時(shí)鐘輸入端,s1[1
48、0..0]為溫度數(shù)據(jù)輸入端,h1[3..0]和h10[3..0]分別為時(shí)鐘的小時(shí)的個(gè)位和十位輸入端,m1[3..0]和m10[3..0]分別為時(shí)鐘的分的個(gè)位和十位輸入端,se1[3..0]和se10[3..0]分別為時(shí)鐘的秒的個(gè)位和十位輸入端,D0~D7為輸出端作為8位二進(jìn)制碼傳輸給LCD,rs為L(zhǎng)CD的數(shù)據(jù)或命令的選擇端。</p><p><b> 2、LCD程序設(shè)計(jì)</b></p
49、><p><b> 流程圖如圖8</b></p><p> 部分命令設(shè)置程序(具體程序見(jiàn)附錄LCD顯示驅(qū)動(dòng)程序)</p><p> when 0 => Q <=x"01"; RS<='0';-- 清屏</p><p> when 1 => Q <=
50、x"38"; RS<='0';--工作方式設(shè)置(初始化)</p><p> when 2 => Q <=x"06"; RS<='0';--輸入方式設(shè)置</p><p> when 3 => Q <=X"0f"; RS<='0';--顯
51、示開(kāi)關(guān)控制(設(shè)置顯示、光標(biāo)及閃爍開(kāi)、關(guān)) when 7 => Q <=x"40"; RS<='0'; -- CGRAM</p><p><b> ......</b></p><p> when 36 => Q <=x"c0"; RS<='0';
52、--SECOND Row" li gong da xue ! " </p><p><b> ......</b></p><p> when 16 => Q <=x"80"; RS<='0'; -- FIRST Row</p><p> ...... &
53、lt;/p><p> when 55 => Q <=x"0c"; RS<='0'; </p><p> when others => Q <=x"A0"; RS<='1';</p><p><b> 圖8 程序流程圖</b><
54、/p><p> 四、結(jié)果分析及調(diào)試:</p><p> 本次課程設(shè)計(jì)在達(dá)到設(shè)計(jì)基本功能的同時(shí),還加入了其他多項(xiàng)輔助功能,使設(shè)計(jì)項(xiàng)目更加人性化、更加完善。主要功能有DS18B20溫度讀取LED數(shù)碼管顯示、LCD1602溫度顯示、LCD1602當(dāng)前時(shí)間顯示和時(shí)間調(diào)接以及溫度設(shè)定報(bào)警等功能。在整個(gè)設(shè)計(jì)調(diào)試過(guò)程中,也出現(xiàn)了一些錯(cuò)誤和難關(guān),但經(jīng)過(guò)小組的探討,查閱相關(guān)資料以及詢問(wèn)指導(dǎo)老師等方法,最終還
55、是逐步的解決了所遇到的問(wèn)題。在下面列舉幾個(gè)主要的問(wèn)題。</p><p> 首先遇到的第一個(gè)問(wèn)題就是LED數(shù)碼管的編碼轉(zhuǎn)換上出現(xiàn)了錯(cuò)誤。在DS18B20中傳輸出來(lái)的溫度數(shù)據(jù)是兩字節(jié)的二進(jìn)制數(shù)據(jù),在將溫度數(shù)值轉(zhuǎn)換成驅(qū)動(dòng)LED顯示的八位二進(jìn)制數(shù)時(shí),沒(méi)有注意開(kāi)發(fā)板上的數(shù)碼管是共陰極還是共陽(yáng)極,顯示出錯(cuò)。編碼取反后恢復(fù)正常。</p><p> 第二是LCD顯示時(shí)顯示亂碼,在編程時(shí)開(kāi)始是在LCD驅(qū)
56、動(dòng)外部編碼然后傳輸進(jìn)入LCD驅(qū)動(dòng),驅(qū)動(dòng)內(nèi)部直接讀取編好后傳輸過(guò)來(lái)的兩位十六進(jìn)制數(shù),但LCD顯示出錯(cuò),顯示為亂碼,出錯(cuò)原因可能是編碼傳輸和顯示時(shí)間不夠等等。最后將編碼轉(zhuǎn)換程序放入LCD顯示驅(qū)動(dòng)中,將DS18B20讀取的兩字節(jié)數(shù)據(jù),直接傳輸入驅(qū)動(dòng)中再進(jìn)行碼字轉(zhuǎn)換成LCD顯示所需的數(shù)碼。</p><p> 在設(shè)計(jì)中還有很多小問(wèn)題,比如說(shuō)計(jì)數(shù)器位數(shù)不夠,LCD顯示出現(xiàn)延遲等等。總體來(lái)說(shuō),所遇到的問(wèn)題都得到了有效地解決,
57、有的是原有基礎(chǔ)上找出錯(cuò)誤修改,有的是換一種方法實(shí)現(xiàn),解決問(wèn)題實(shí)現(xiàn)目標(biāo)方法多種多樣,但只要目的達(dá)到都是一種成功。</p><p><b> 五、體會(huì):</b></p><p> 經(jīng)過(guò)為期三周的時(shí)間的課程設(shè)計(jì),掌握了電子技術(shù)、EDA技術(shù)、電子測(cè)量和計(jì)算機(jī)接口等綜合性應(yīng)用技術(shù);熟悉控制系統(tǒng)、嵌入式系統(tǒng)和可編程片上系統(tǒng)的設(shè)計(jì)方法;能設(shè)計(jì)出具有一定功能和規(guī)模的電子應(yīng)用電路。
58、對(duì)以后相關(guān)工作的設(shè)計(jì)有了一定的幫助。</p><p><b> 六、參考文獻(xiàn):</b></p><p> [1] EDA技術(shù) 郭勇 高等教育出版社 2004-07出版</p><p> [2] 何賓 EDA原理及應(yīng)用 清華大學(xué)出版社 2009-06出版</p><p> [3] 趙剛 EDA技術(shù)簡(jiǎn)
59、明教程 四川大學(xué)出版社 2004-07出版</p><p> [4] 江國(guó)強(qiáng) EDA技術(shù)與應(yīng)用(第3版) 電子工業(yè)出版社 2010-04出版</p><p> [5] 周立功 EDA實(shí)驗(yàn)與實(shí)踐 北京航空航天大學(xué)出版社 2007-09出版</p><p> [6] 郭振武、叢紅俠 EDA實(shí)驗(yàn)教程 南開(kāi)大學(xué)出版社 2011-08出版</p>
60、;<p> [7] 潘松、 黃繼EDA技術(shù)與VHDL(第3版) 清華大學(xué)出版社 2009-09出版</p><p> [8] 羅力凡、 常春藤 基于VHDL的FPGA開(kāi)發(fā)快速入門(mén)?技巧?實(shí)例 人民郵電出版社 2009-05出版</p><p> [9] 潘松、黃繼業(yè)、 潘明 EDA技術(shù)實(shí)用教程:Verilog HDL版(第4版) 科學(xué)出版社 2010-07出
61、版</p><p> [10] 譚會(huì)生、 張昌凡 EDA技術(shù)及應(yīng)用:Verilog HDL版(第3版) 西安電子科技大學(xué)出版社 2011-07出版</p><p> [11] 周潤(rùn)景、 蘇良碧 基于Quartus II的數(shù)字系統(tǒng)Verilog HDL設(shè)計(jì)實(shí)例詳解 電子工業(yè)出版社 2010-05出版</p><p><b> 七、附錄:&l
62、t;/b></p><p><b> 1、模塊電路圖</b></p><p><b> 2、實(shí)物圖</b></p><p><b> 3、程序清單</b></p><p> 1、DS18B20控制程序及LED數(shù)碼管顯示驅(qū)動(dòng)程序代碼</p><p
63、> LIBRARY IEEE;</p><p> USE IEEE.STD_LOGIC_1164.ALL;</p><p> USE IEEE.STD_LOGIC_ARITH.ALL;</p><p> USE IEEE.STD_LOGIC_UNSIGNED.ALL;</p><p> ENTITY BO IS</p&g
64、t;<p><b> PORT(</b></p><p> DQ:inOUT STD_LOGIC; --DS18B20的單數(shù)據(jù)總線</p><p> --DQ2:IN STD_LOGIC;</p><p> inclk:in std_logic;</p><p> --k1,k2,k3,k4
65、,k5:in std_logic;--</p><p> sel:out std_logic_vector(3 downto 0);--數(shù)碼管位選</p><p> seg:out std_logic_vector(7 downto 0);--數(shù)碼管段選</p><p> templlreture:out std_logic_vector(10 downto
66、0); --當(dāng)前溫度</p><p> baojing:out std_logic --報(bào)警信號(hào)</p><p><b> );</b></p><p><b> END;</b></p><p> ARCHITECTURE bhv OF BO IS</p><p&
67、gt; constant ml1:std_logic_vector(15 downto 0):="0011001100100010"; --命令1,即前一字節(jié)忽略讀DS18B20的ROM 后一字節(jié)開(kāi)始轉(zhuǎn)換溫度</p><p> constant ml2:std_logic_vector(15 downto 0):="0011001101111101"; --命令
68、2,前一字節(jié)忽略讀DS18B20的ROM 后一字節(jié)開(kāi)始讀暫存器</p><p> signal templ1,templ2:std_logic_vector(7 downto 0); --從DS18b20讀出的兩字節(jié)溫度</p><p> signal d,c,b,a:std_logic_vector(7 downto 0);--十進(jìn)制數(shù)后的個(gè)位、小數(shù)點(diǎn)第一位、第二位對(duì)應(yīng)的數(shù)碼管的段數(shù)
69、值</p><p> signal var1,var2,var3,var4:integer;--分別對(duì)應(yīng)將二進(jìn)制數(shù)據(jù)轉(zhuǎn)化為十進(jìn)制數(shù)后的個(gè)位、小數(shù)點(diǎn)第一位、第二位</p><p> signal current_templ:std_logic_vector(10 downto 0); --當(dāng)前溫度</p><p> signal cur:std_logic_
70、vector(7 downto 0); --當(dāng)前溫度</p><p> signal ng:std_logic; --負(fù)數(shù)標(biāo)志位</p><p> signal clk:std_logic;</p><p> signal count_48:std_logic_vector(4 downto 0);</p><p><b
71、> begin</b></p><p> process(inclk)</p><p><b> begin</b></p><p> if rising_edge(inclk) then</p><p> count_48<=count_48+1;</p><p&g
72、t; if count_48="11000" then</p><p> clk<=not clk;</p><p> count_48<="00000";</p><p><b> end if;</b></p><p><b> end if;&
73、lt;/b></p><p> end process;</p><p> process(clk)</p><p> variable saomiao:std_logic_vector(1 downto 0); --掃描變量</p><p> variable count1:std_logic_vector(10 down
74、to 0); --計(jì)數(shù)器1,用于DS18B20初始化時(shí)計(jì)時(shí),以產(chǎn)生時(shí)序</p><p> variable count2:std_logic_vector(9 downto 0); --計(jì)數(shù)器2,用于向DS18B20寫(xiě)命令時(shí)計(jì)時(shí),以產(chǎn)生時(shí)序</p><p> variable count3:std_logic_vector(7 downto 0); --計(jì)數(shù)器3,用于向DS
75、18B20讀數(shù)據(jù)時(shí)計(jì)時(shí),以產(chǎn)生時(shí)序</p><p> variable fenping_saomiao:std_logic_vector(7 downto 0); </p><p> variable i:integer range -1 to 15:=15; --命令比特計(jì)數(shù)值</p><p> variable init:integer range
76、 0 to 1:=0; --完成初始化標(biāo)志</p><p> variable j:integer range 0 to 8:=0; --讀溫度時(shí),比特計(jì)數(shù)值</p><p> variable k:integer range 0 to 1:=0; --用于指示讀取哪個(gè)溫度值</p><p> variable state:integer ran
77、ge 0 to 2:=0; --狀態(tài)標(biāo)志,0時(shí)寫(xiě)命令1,1時(shí)寫(xiě)命令2,2時(shí)讀取溫度</p><p> variable templ:std_logic_vector(7 downto 0);</p><p><b> begin</b></p><p> if rising_edge(clk) then</p><
78、p> fenping_saomiao:=fenping_saomiao+1;</p><p> if fenping_saomiao="11111111" then</p><p> saomiao:=saomiao+1; --掃描</p><p> case saomiao is --對(duì)數(shù)碼管進(jìn)行位掃描</p>
79、<p> when "00"=>sel<="0001";seg<=a; --注意數(shù)碼管的位選信號(hào)是低電平有效</p><p> when "01"=>sel<="0010";seg<=b;</p><p> when "10"=&g
80、t;sel<="0011";seg<=c;</p><p> when "11"=>sel<="0100";seg<=d;</p><p> when others=>sel<="0000";</p><p><b> end c
81、ase;</b></p><p><b> end if;</b></p><p> if state=0 then</p><p> if init=1 then --若初始化完成,開(kāi)始寫(xiě)命令1</p><p> count2:=count2+1;</p><p> i
82、f count2="0000000001" then --將總線拉低</p><p><b> DQ<='0';</b></p><p> elsif count2="0000001100" then --在15us內(nèi)向總線寫(xiě)一比特?cái)?shù)值</p><p> DQ<=
83、ml1(i);</p><p> elsif count2="0001011010" then --在寫(xiě)時(shí)序的15us~60us內(nèi),DS18B20對(duì)總線采樣,所以取90us</p><p><b> DQ<='1';</b></p><p> elsif count2="101011
84、0100" then --在大于1us之后,總線拉低,產(chǎn)生下一寫(xiě)時(shí)序</p><p> --DQ<='0';</p><p> count2:="0000000000"; --計(jì)數(shù)器歸零</p><p> i:=i-1; --寫(xiě)下1比特命令</p><p> if i=-
85、1 then --寫(xiě)完命令,命令計(jì)數(shù)值歸零,初始化標(biāo)志歸零,以產(chǎn)生下次初始化,狀態(tài)轉(zhuǎn)為1,即將寫(xiě)命令2</p><p> i:=15;init:=0;state:=1;</p><p><b> end if;</b></p><p><b> end if;</b></p><p>
86、 else --init=0時(shí)進(jìn)行初始化</p><p> count1:=count1+1;</p><p> if count1="000000000001" then</p><p><b> DQ<='1';</b></p><p> elsif count1
87、="000000000011" then --拉低</p><p><b> DQ<='0';</b></p><p> elsif count1="01010111100" then --初始化時(shí)要求,低電平至少保持480us,這里取500us</p><p><
88、b> DQ<='1';</b></p><p> elsif count1="01011011010" then --要求15us~60us,拉高,這里取30us(530),再釋放總線,以讓DS18B20發(fā)出存在脈沖</p><p><b> DQ<='Z';</b></
89、p><p> elsif count1="01111001010" then --存在脈沖為60us~240us,830,再拉高</p><p><b> DQ<='1';</b></p><p> elsif count1="10000000000" then --整個(gè)時(shí)
90、序要求960us,這里取1024us</p><p> init:=1;count1:="00000000000"; --總線拉低(也可以不拉低),初始化標(biāo)志置1,即下次不進(jìn)行初始化,計(jì)數(shù)器歸零</p><p><b> end if;</b></p><p><b> end if;</b>
91、</p><p> elsif state=1 then --state=1,寫(xiě)命令2</p><p> if init=1 then</p><p> count2:=count2+1;</p><p> if count2="0000000001" then</p><p><
92、b> DQ<='0';</b></p><p> elsif count2="0000001100" then</p><p> DQ<=ml2(i);</p><p> elsif count2="0001011010" then</p><p>
93、<b> DQ<='1';</b></p><p> elsif count2="0001011100" then</p><p> count2:="0000000000";</p><p><b> i:=i-1;</b></p>&l
94、t;p> if i=-1 then</p><p> i:=15;init:=0;state:=2;</p><p><b> end if;</b></p><p><b> end if;</b></p><p><b> else</b></p>
95、;<p> count1:=count1+1;</p><p> if count1="000000000001" then</p><p><b> DQ<='1';</b></p><p> elsif count1="000000000011" then
96、 --拉低</p><p><b> DQ<='0';</b></p><p> elsif count1="01010111100" then --初始化時(shí)要求,低電平至少保持480us,這里取500us</p><p><b> DQ<='1';</
97、b></p><p> elsif count1="01011011010" then --要求15us~60us,拉高,這里取30us(530),再釋放總線,以讓DS18B20發(fā)出存在脈沖</p><p><b> DQ<='Z';</b></p><p> elsif count1=
98、"01111001010" then --存在脈沖為60us~240us,830,再拉高</p><p><b> DQ<='1';</b></p><p> elsif count1="10000000000" then --整個(gè)時(shí)序要求960us,這里取1024us</p>&
99、lt;p> init:=1;count1:="00000000000"; --總線拉低(也可以不拉低),初始化標(biāo)志置1,即下次不進(jìn)行初始化,計(jì)數(shù)器歸零</p><p><b> end if;</b></p><p><b> end if;</b></p><p> else
100、--state=2,讀取溫度</p><p> if k=0 then --k=0,讀取第一字節(jié)溫度(低字節(jié))</p><p> count3:=count3+1;</p><p> if count3="00000001" then</p><p><b> DQ<='0';&
101、lt;/b></p><p> elsif count3="00000100" then --低電平至少1us,在釋放總線</p><p><b> DQ<='Z';</b></p><p> elsif count3="00001101" then --要求在1
102、5us內(nèi)讀取溫度</p><p> templ(j):=DQ;</p><p> elsif count3="01010000" then --讀時(shí)序至少60us,這里取80us</p><p><b> DQ<='1';</b></p><p> elsif cou
103、nt3="01010010" then --計(jì)數(shù)器歸零</p><p> count3:="00000000";</p><p> j:=j+1; --讀取下一比特</p><p> if j=8 then --讀取完</p><p> j:=0; --歸0</p>
104、<p> k:=1; --讀第2字節(jié)</p><p> templ1<=templ;</p><p><b> end if;</b></p><p><b> end if;</b></p><p> else --k=1 讀第2字節(jié)</p>&l
105、t;p> count3:=count3+1;</p><p> if count3="00000001" then</p><p><b> DQ<='0';</b></p><p> elsif count3="00000100" then</p>&l
106、t;p><b> DQ<='Z';</b></p><p> elsif count3="00001101" then</p><p> templ(j):=DQ;</p><p> elsif count3="01010000" then</p><
107、;p><b> DQ<='1';</b></p><p> elsif count3="01010010" then</p><p> count3:="00000000";</p><p><b> j:=j+1;</b></p>
108、<p> if j=8 then</p><p><b> j:=0;</b></p><p><b> k:=0;</b></p><p> state:=0; --狀態(tài)標(biāo)志歸零,進(jìn)入下次大循環(huán)</p><p> if (templ and "11111000&q
109、uot;)="11111000" then --如果溫度是負(fù)數(shù)則需要轉(zhuǎn)換下</p><p> templ:=(not templ);</p><p> templ1<=(not templ1)+1;</p><p> if templ1="0000000" then </p><p>
110、 templ:=templ+1;</p><p><b> end if;</b></p><p> ng<='1'; --負(fù)溫度標(biāo)志</p><p><b> else</b></p><p><b> ng<='0';</b
111、></p><p><b> end if;</b></p><p> current_templ<=templ(2 downto 0) & templ1; --溫度值是templ_value2的低5位和templ_value1</p><p><b> end if;</b></p&g
112、t;<p><b> end if;</b></p><p><b> end if;</b></p><p><b> end if;</b></p><p><b> end if;</b></p><p> end proce
113、ss;</p><p> --process()</p><p> --end process;</p><p> process(current_templ)</p><p><b> begin</b></p><p> if CONV_INTEGER(current_templ)/
114、16>20 then --如果溫度大于25度,報(bào)警</p><p> baojing<='1';</p><p><b> else</b></p><p> baojing<='0';</p><p><b> end if;</b>&
115、lt;/p><p> templlreture<=current_templ; </p><p> if ng='1' then</p><p> d<="01000000"; --負(fù)號(hào)</p><p> var3<=CONV_INTEGER(current_templ)/160
116、rem 10; --十位</p><p> var2<=CONV_INTEGER(current_templ)/16 rem 10; --個(gè)位</p><p> var1<=CONV_INTEGER(current_templ)*10/16 rem 10; --小數(shù)點(diǎn)后一位</p><p><b> else</b>
117、;</p><p> var4<=CONV_INTEGER(current_templ)/1600; --百位</p><p> var3<=CONV_INTEGER(current_templ)/160 rem 10; --十位</p><p> var2<=CONV_INTEGER(current_templ)/16 rem 10
118、; --個(gè)位</p><p> var1<=CONV_INTEGER(current_templ)*10/16 rem 10; --小數(shù)點(diǎn)后一位</p><p><b> end if;</b></p><p> case var4 is--百位</p><p> when 0 => d &l
119、t;= "00000000";--000</p><p> when 1 => d <= "00000110";--100</p><p> when 2 => d <= "01011011";--200</p><p> when 3 => d <= "
120、01001111";--300</p><p> when 4 => d <= "01100110";--400</p><p> when 5 => d <= "01101101";--500</p><p> when 6 => d <= "01111101&q
121、uot;;--600</p><p> when 7 => d <= "00000111";--700</p><p> when 8 => d <= "01111111";--800</p><p> when 9 => d <= "01101111";--900
122、</p><p> when others => d <= "01000000";</p><p><b> end case;</b></p><p> case var3 is--十位</p><p> when 0 => c <= "00111111&q
123、uot;;</p><p> when 1 => c <= "00000110";</p><p> when 2 => c <= "01011011";--2</p><p> when 3 => c <= "01001111";--3</p>
124、<p> when 4 => c <= "01100110";--4</p><p> when 5 => c <= "01101101";--5</p><p> when 6 => c <= "01111101";--6</p><p>
125、; when 7 => c <= "00000111";--7</p><p> when 8 => c <= "01111111";--8</p><p> when 9 => c <= "01101111";--9</p><p> when ot
126、hers => c <= "00000000";</p><p><b> end case;</b></p><p> case var2 is--個(gè)位</p><p> when 0 => b <= "10111111";</p><p> wh
溫馨提示
- 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
- 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
- 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
- 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
- 5. 眾賞文庫(kù)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
- 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
- 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。
最新文檔
- 數(shù)字溫度測(cè)量系統(tǒng)課程設(shè)計(jì)論文
- 溫度測(cè)量顯示電路課程設(shè)計(jì)--溫度測(cè)量顯示電路設(shè)計(jì)
- 糧庫(kù)溫度測(cè)量顯示系統(tǒng)課程設(shè)計(jì)
- 課程設(shè)計(jì)---溫度測(cè)量顯示電路設(shè)計(jì)
- 溫度測(cè)量及時(shí)鐘顯示的課程設(shè)計(jì)
- 溫度控制課程設(shè)計(jì)--數(shù)字溫度測(cè)量系統(tǒng)
- 溫度采集及顯示課程設(shè)計(jì)
- 溫度采集附顯示課程設(shè)計(jì)課題論文
- lcd顯示設(shè)計(jì)單片機(jī)課程設(shè)計(jì)
- 課程設(shè)計(jì)--帶lcd顯示的定時(shí)鬧鐘
- 課程設(shè)計(jì)--帶lcd顯示的定時(shí)鬧鐘
- 數(shù)字溫度測(cè)量及顯示系統(tǒng).doc
- 電子測(cè)量課程設(shè)計(jì)-計(jì)算機(jī)串口接收+lcd距離顯示設(shè)計(jì)
- 畢業(yè)論文-數(shù)字顯示lcd屏設(shè)計(jì)
- 數(shù)字溫度測(cè)量及顯示系統(tǒng)設(shè)計(jì)開(kāi)題報(bào)告
- 數(shù)字溫度測(cè)量及顯示系統(tǒng).doc
- 數(shù)字顯示lcd屏設(shè)計(jì)畢業(yè)論文
- 溫度控制顯示課程設(shè)計(jì)
- 課程設(shè)計(jì)--帶LCD顯示的定時(shí)鬧鐘.doc
- 液晶顯示屏lcd顯示接口設(shè)計(jì)課程設(shè)計(jì)
評(píng)論
0/150
提交評(píng)論