版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)
文檔簡(jiǎn)介
1、<p> 課 程 設(shè) 計(jì) 說 明 書</p><p><b> 目錄</b></p><p> 1、課程設(shè)計(jì)目的 …………………………………………2</p><p> 2、課程設(shè)計(jì)內(nèi)容和要求 …………………………………2</p><p> 3、設(shè)計(jì)方案及實(shí)現(xiàn)情況</p><p>
2、; 3.1 設(shè)計(jì)思路……………………………………………………2</p><p> 3.2 工作原理及框圖……………………………………………3</p><p> 3.3 各模塊功能描述……………………………………………4</p><p> 3.4 實(shí)驗(yàn)箱驗(yàn)證情況 …………………………………………13</p><p> 4、課程設(shè)計(jì)總結(jié)
3、…………………………………………14</p><p> 5、參考書目………………………………………………15</p><p><b> 一、課程設(shè)計(jì)目的</b></p><p> 1.學(xué)習(xí)操作數(shù)字電路設(shè)計(jì)實(shí)驗(yàn)開發(fā)系統(tǒng),掌握點(diǎn)陣顯示模塊的工作原理及應(yīng)用。</p><p> 2.掌握組合邏輯電路、時(shí)序邏輯電路的設(shè)計(jì)方
4、法。</p><p> 3.學(xué)習(xí)掌握可編程器件設(shè)計(jì)的全過程。</p><p> 二、課程設(shè)計(jì)內(nèi)容和要求</p><p> 1.學(xué)習(xí)掌握鍵盤控制模塊、點(diǎn)陣顯示模塊的工作原理及應(yīng)用;</p><p> 2. 熟練掌握VHDL編程語言,編寫鍵盤控制模塊的控制邏輯;</p><p> 3. 仿真所編寫的程序,模擬驗(yàn)證
5、所編寫的模塊功能;</p><p> 4. 下載程序到芯片中,硬件驗(yàn)證所設(shè)置的功能,能夠?qū)崿F(xiàn)漢字顯示;</p><p> 5. 整理設(shè)計(jì)內(nèi)容,編寫設(shè)計(jì)說明書。</p><p> 6.VHDL源程序及內(nèi)部原理圖。</p><p> 7.該設(shè)計(jì)可以在實(shí)驗(yàn)箱上正常工作并演示。 </p><p> 三、設(shè)計(jì)
6、方案及實(shí)現(xiàn)情況</p><p><b> 3.1、設(shè)計(jì)思路</b></p><p> 按照本題目要求,需要“鍵盤鍵值讀取模塊”和“點(diǎn)陣顯示模塊”(如圖1)。值得注意的是:sel3、sel2、sel1、sel0是鍵盤和點(diǎn)陣的復(fù)用片選信號(hào)。其中鍵盤對(duì)sel2、sel1、sel0敏感,點(diǎn)陣對(duì)sel3、sel2、sel1、sel0敏感。</p><p&
7、gt;<b> 圖1</b></p><p> 3.2、工作原理及框圖</p><p> 如上所述:sel3、sel2、sel1、sel0經(jīng)譯碼后片選,且分時(shí)復(fù)用。sel3、sel2、sel1、sel0組成的二進(jìn)制碼對(duì)應(yīng)點(diǎn)陣的dat0—dat15(共16個(gè)列選);</p><p> sel2、sel1、sel0組成的二進(jìn)制碼對(duì)鍵盤產(chǎn)生片
8、選信號(hào)。</p><p><b> 具體如表格:</b></p><p> 例如:當(dāng)selout(3-0)等于X000時(shí),讀取鍵盤kin(3-0)等于1110,那么可以確定按下了“2鍵”,即可以對(duì)應(yīng)顯示某一漢字(例如:“大”)。</p><p> 16*16點(diǎn)陣標(biāo)準(zhǔn)字模生成(例如:“科”):</p><p> 3
9、.3、各模塊功能描述</p><p><b> 所以程序代碼:</b></p><p> library ieee;</p><p> use ieee.std_logic_1164.all;</p><p> use ieee.std_logic_unsigned.all;</p><p&g
10、t; entity key is</p><p> port(clk:in std_logic;</p><p> kin:in std_logic_vector(3 downto 0);</p><p> dotout:out std_logic_vector(15 downto 0);</p><p> selout:out s
11、td_logic_vector(3 downto 0));</p><p><b> end key;</b></p><p> architecture rtl of key is </p><p><b> begin</b></p><p> process(clk)</p&g
12、t;<p> variable tmp: std_logic_vector(3 downto 0);</p><p> variable sel: std_logic_vector(3 downto 0);</p><p> variable dat: std_logic_vector(3 downto 0);</p><p><b>
13、 begin </b></p><p> if clk'event and clk='1' then</p><p> if tmp="1111" then </p><p> tmp:="0000";</p><p><b> else<
14、/b></p><p> tmp:=tmp+1;</p><p><b> end if;</b></p><p><b> end if;</b></p><p> if kin = "1111" then </p><p><b&g
15、t; sel:=tmp;</b></p><p><b> else</b></p><p> dat := "1111";</p><p><b> end if;</b></p><p> selout <= tmp;</p><
16、;p> --------------------------鍵盤鍵值讀取----------------------------</p><p> if (sel="0000" or sel="1000") and kin="1110" then</p><p> dat := "0000";
17、 --- 0</p><p> elsif (sel="0001" or sel="1001") and kin="1110" then</p><p> dat := "0001"; --- 1</p>
18、<p> elsif (sel="0010" or sel="1010") and kin="1110" then</p><p> dat := "0010"; --- 2</p><p> elsif (sel="0011&quo
19、t; or sel="1011") and kin="1110" then</p><p> dat := "0011"; --- 3</p><p> elsif (sel="0110" or sel="1110") and kin=
20、"1110" then</p><p> dat := "0100"; --- 4</p><p> elsif (sel="0111" or sel="1111") and kin="1110" then</p><
21、p> dat := "0101"; --- 5</p><p> elsif (sel="0000" or sel="1000") and kin="1101" then</p><p> dat := "0110";
22、 --- 6</p><p> elsif (sel="0001" or sel="1001") and kin="1101" then</p><p> dat := "0111"; --- 7</
23、p><p> elsif (sel="0100" or sel="1100") and kin="1101" then</p><p> dat := "1000"; --- 8</p><p> elsif (sel=
24、"0101" or sel="1101") and kin="1101" then</p><p> dat := "1001"; --- 9</p><p> elsif (sel="0110" or sel=&quo
25、t;1110") and kin="1101" then</p><p> dat := "1010"; --- A</p><p> elsif (sel="0111" or sel="1111") and kin="1
26、101" then</p><p> dat := "0011"; --- B</p><p> elsif (sel="0010" or sel="1010") and kin="1011" then</p><p>
27、dat := "1100"; --- C</p><p> elsif (sel="0011" or sel="1011") and kin="1011" then</p><p> dat := "1101";
28、 --- D</p><p> elsif (sel="0100" or sel="1100") and kin="1011" then</p><p> dat := "1110"; -
29、-- E</p><p> elsif (sel="0101" or sel="1101") and kin="1011" then</p><p> dat := "1111"; --- F</p><p><
30、b> end if;</b></p><p> ---------------------------點(diǎn)陣顯示部分--------------------------</p><p> if kin = "1111" then </p><p> case dat is</p><p> whe
31、n"0000"=> --0 zhong 中</p><p> case tmp is </p><p> when"0000"=>dotout<="0000000000000000";</p><p> when"0001"=>d
32、otout<="0000000000000000";</p><p> when"0010"=>dotout<="0001000000000000";</p><p> when"0011"=>dotout<="0011111111100000";</
33、p><p> when"0100"=>dotout<="0001000001000000";</p><p> when"0101"=>dotout<="0001000001000000";</p><p> when"0110"=>d
34、otout<="0001000001000000";</p><p> when"0111"=>dotout<="0001000001000000";</p><p> when"1000"=>dotout<="1111111111111111";</
35、p><p> when"1001"=>dotout<="0001000001000000";</p><p> when"1010"=>dotout<="0001000001000000";</p><p> when"1011"=>d
36、otout<="0001000001000000";</p><p> when"1100"=>dotout<="0001000001000000";</p><p> when"1101"=>dotout<="0011111111100000";</
37、p><p> when"1110"=>dotout<="0000000000000000";</p><p> when"1111"=>dotout<="0000000000000000";</p><p> when others=>null;</
38、p><p><b> end case;</b></p><p> when"0001"=> --1 bei 北</p><p> case tmp is </p><p> when"0000"=>dotout<="00
39、00000000000000";</p><p> when"0001"=>dotout<="0000100000011110";</p><p> when"0010"=>dotout<="0001100000000010";</p><p>
40、when"0011"=>dotout<="0000110000000010";</p><p> when"0100"=>dotout<="0000010000000010";</p><p> when"0101"=>dotout<="00
41、00001000000010";</p><p> when"0110"=>dotout<="1111111111111100";</p><p> when"0111"=>dotout<="0000000000000000";</p><p>
42、when"1000"=>dotout<="0000000000000000";</p><p> when"1001"=>dotout<="0000000000000000";</p><p> when"1010"=>dotout<="11
43、11111111111111";</p><p> when"1011"=>dotout<="0000010000100000";</p><p> when"1100"=>dotout<="0000010000010000";</p><p>
44、when"1101"=>dotout<="0000010000011000";</p><p> when"1110"=>dotout<="0000010000001100";</p><p> when"1111"=>dotout<="00
45、00000000001000";</p><p> when others=>null;</p><p><b> end case;</b></p><p> when"0010"=> --2 da 大</p><p> case tmp is
46、 </p><p> when"0000"=>dotout<="0000000000000000";</p><p> when"0001"=>dotout<="0000010000000010";</p><p> when"0010
47、"=>dotout<="0000010000000011";</p><p> when"0011"=>dotout<="0000010000000110";</p><p> when"0100"=>dotout<="0000010000001100
48、";</p><p> when"0101"=>dotout<="0000010000010000";</p><p> when"0110"=>dotout<="0000010001100000";</p><p> when"0111
49、"=>dotout<="0000010110000000";</p><p> when"1000"=>dotout<="1111111000000000";</p><p> when"1001"=>dotout<="0000010111000000
50、";</p><p> when"1010"=>dotout<="0000010000110000";</p><p> when"1011"=>dotout<="0000010000001000";</p><p> when"1100
51、"=>dotout<="0000010000000100";</p><p> when"1101"=>dotout<="0000010000000010";</p><p> when"1110"=>dotout<="0000010000000001
52、";</p><p> when"1111"=>dotout<="0000010000000000";</p><p> when others=>null;</p><p><b> end case;</b></p><p> when&qu
53、ot;0011"=> --3 xue 學(xué)</p><p> case tmp is </p><p> when"0000"=>dotout<="0000000000000000";</p><p> when"0001"=>
54、dotout<="0000100001000000";</p><p> when"0010"=>dotout<="0100110001000000";</p><p> when"0011"=>dotout<="1110100001000000";<
55、/p><p> when"0100"=>dotout<="0001100001000000";</p><p> when"0101"=>dotout<="0000101001000000";</p><p> when"0110"=>
56、dotout<="0010101101000000";</p><p> when"0111"=>dotout<="0111101011111110";</p><p> when"1000"=>dotout<="1000101001000001";<
57、/p><p> when"1001"=>dotout<="0000101001000010";</p><p> when"1010"=>dotout<="0010101001000000";</p><p> when"1011"=>
58、dotout<="0011101001000000";</p><p> when"1100"=>dotout<="0100100001000000";</p><p> when"1101"=>dotout<="0000100001000000";<
59、/p><p> when"1110"=>dotout<="0000110001000000";</p><p> when"1111"=>dotout<="0000001000000000";</p><p> when others=>null;<
60、/p><p><b> end case;</b></p><p> when"0100"=> --4 zhi 致</p><p> case tmp is </p><p> when"0000"=>dotout<=
61、"0000000000000000";</p><p> when"0001"=>dotout<="0000100000000010";</p><p> when"0010"=>dotout<="0000100000000011";</p><
62、;p> when"0011"=>dotout<="0000111110000100";</p><p> when"0100"=>dotout<="0000100001001000";</p><p> when"0101"=>dotout<=
63、"0100100000110000";</p><p> when"0110"=>dotout<="1111101111001000";</p><p> when"0111"=>dotout<="0000110000000100";</p><
64、;p> when"1000"=>dotout<="0100010010001010";</p><p> when"1001"=>dotout<="0100111010001001";</p><p> when"1010"=>dotout<=
65、"0101010010001000";</p><p> when"1011"=>dotout<="0100011111111100";</p><p> when"1100"=>dotout<="0111010010000100";</p><
66、;p> when"1101"=>dotout<="0100110010000100";</p><p> when"1110"=>dotout<="0100010010000100";</p><p> when"1111"=>dotout<=
67、"0000000000000000";</p><p> when others=>null;</p><p><b> end case;</b></p><p> when"0101"=> --5 zhi 之</p><p> cas
68、e tmp is </p><p> when"0000"=>dotout<="0000000000000000";</p><p> when"0001"=>dotout<="0000000000000010";</p><p> whe
69、n"0010"=>dotout<="0001000000000010";</p><p> when"0011"=>dotout<="0011100000000010";</p><p> when"0100"=>dotout<="00010
70、10000000010";</p><p> when"0101"=>dotout<="0001001000000010";</p><p> when"0110"=>dotout<="0001000100000010";</p><p> whe
71、n"0111"=>dotout<="0011000010000010";</p><p> when"1000"=>dotout<="0101000001000100";</p><p> when"1001"=>dotout<="10010
72、00000100100";</p><p> when"1010"=>dotout<="0001000000100100";</p><p> when"1011"=>dotout<="0001000000011000";</p><p> whe
73、n"1100"=>dotout<="0001000000010000";</p><p> when"1101"=>dotout<="0001000000001100";</p><p> when"1110"=>dotout<="00010
74、00000000110";</p><p> when"1111"=>dotout<="0000000000000100";</p><p> when others=>null;</p><p><b> end case;</b></p><p&g
75、t; when"0110"=> --6 yu 于</p><p> case tmp is </p><p> when"0000"=>dotout<="0000000000000000";</p><p> when"0001&
76、quot;=>dotout<="0000001000000000";</p><p> when"0010"=>dotout<="0100001000000000";</p><p> when"0011"=>dotout<="0100001000000000&
77、quot;;</p><p> when"0100"=>dotout<="0100001000000000";</p><p> when"0101"=>dotout<="0100001000000000";</p><p> when"0110&
78、quot;=>dotout<="0100001000000000";</p><p> when"0111"=>dotout<="0100001000000000";</p><p> when"1000"=>dotout<="0111111111111110&
79、quot;;</p><p> when"1001"=>dotout<="0100001000000001";</p><p> when"1010"=>dotout<="0100001000000010";</p><p> when"1011&
80、quot;=>dotout<="0100001000000100";</p><p> when"1100"=>dotout<="0100001000000000";</p><p> when"1101"=>dotout<="0100001000000000&
81、quot;;</p><p> when"1110"=>dotout<="0000001000000000";</p><p> when"1111"=>dotout<="0000001000000000";</p><p> when others=>
82、;null;</p><p><b> end case;</b></p><p> when"0111"=> --7 xing 行</p><p> case tmp is </p><p> when"0000"=>
83、dotout<="0000000000000000";</p><p> when"0001"=>dotout<="0000001000000000";</p><p> when"0010"=>dotout<="0010001000000000";<
84、/p><p> when"0011"=>dotout<="0010001000000000";</p><p> when"0100"=>dotout<="0010001000000000";</p><p> when"0101"=>
85、dotout<="0010001111111110";</p><p> when"0110"=>dotout<="0010001000000001";</p><p> when"0111"=>dotout<="0010001000000010";<
86、/p><p> when"1000"=>dotout<="0010001000000000";</p><p> when"1001"=>dotout<="0000001000000000";</p><p> when"1010"=>
87、dotout<="0100010000000000";</p><p> when"1011"=>dotout<="1100111000000000";</p><p> when"1100"=>dotout<="0110001111111111";<
88、/p><p> when"1101"=>dotout<="0010000100000000";</p><p> when"1110"=>dotout<="0001000010000000";</p><p> when"1111"=>
89、dotout<="0000100001000000";</p><p> when others=>null;</p><p><b> end case;</b></p><p> when"1000"=> --8 dian 電</p><
90、;p> case tmp is </p><p> when"0000"=>dotout<="0000000000000000";</p><p> when"0001"=>dotout<="0000000000001110";</p><
91、;p> when"0010"=>dotout<="0000000000000010";</p><p> when"0011"=>dotout<="0001111111110010";</p><p> when"0100"=>dotout<=
92、"0001001000100010";</p><p> when"0101"=>dotout<="0001001000100010";</p><p> when"0110"=>dotout<="0001001000100010";</p><
93、;p> when"0111"=>dotout<="0001001000100010";</p><p> when"1000"=>dotout<="1111111111111100";</p><p> when"1001"=>dotout<=
94、"0001001000100000";</p><p> when"1010"=>dotout<="0001001000100000";</p><p> when"1011"=>dotout<="0001001000100000";</p><
95、;p> when"1100"=>dotout<="0001001000100000";</p><p> when"1101"=>dotout<="0001111111110000";</p><p> when"1110"=>dotout<=
96、"0000000000000000";</p><p> when"1111"=>dotout<="0000000000000000";</p><p> when others=>null;</p><p><b> end case;</b></p&g
97、t;<p> when"1001"=> --9 zi 子</p><p> case tmp is </p><p> when"0000"=>dotout<="0000000000000000";</p><p> when&
98、quot;0001"=>dotout<="0000000010000000";</p><p> when"0010"=>dotout<="0000000110000000";</p><p> when"0011"=>dotout<="0000000
99、010000000";</p><p> when"0100"=>dotout<="0100000010000000";</p><p> when"0101"=>dotout<="0110000010000000";</p><p> when&
100、quot;0110"=>dotout<="0101000010000000";</p><p> when"0111"=>dotout<="0100100010000000";</p><p> when"1000"=>dotout<="0100011
101、111111110";</p><p> when"1001"=>dotout<="0100000010000001";</p><p> when"1010"=>dotout<="0100000010000010";</p><p> when&
102、quot;1011"=>dotout<="0100000010000000";</p><p> when"1100"=>dotout<="0100000010000000";</p><p> when"1101"=>dotout<="0100000
103、010000000";</p><p> when"1110"=>dotout<="0000000010000000";</p><p> when"1111"=>dotout<="0000000010000000";</p><p> when
104、others=>null;</p><p><b> end case;</b></p><p> when"1010"=> --A ke 課</p><p> case tmp is </p><p> when"0000"
105、;=>dotout<="0000000000000000";</p><p> when"0001"=>dotout<="0000000010000000";</p><p> when"0010"=>dotout<="0000000010000000"
106、;;</p><p> when"0011"=>dotout<="1111111111111111";</p><p> when"0100"=>dotout<="0000000001000000";</p><p> when"0101"
107、;=>dotout<="0000000001000000";</p><p> when"0110"=>dotout<="0011001101000000";</p><p> when"0111"=>dotout<="0100010000100000"
108、;;</p><p> when"1000"=>dotout<="0000000000100000";</p><p> when"1001"=>dotout<="1000100100100000";</p><p> when"1010"
109、;=>dotout<="1000101000000000";</p><p> when"1011"=>dotout<="0111111111111111";</p><p> when"1100"=>dotout<="0100111000000000"
110、;;</p><p> when"1101"=>dotout<="0100100110000000";</p><p> when"1110"=>dotout<="0100100001000000";</p><p> when"1111"
111、;=>dotout<="0000100000100000";</p><p> when others=>null;</p><p><b> end case;</b></p><p> when"1100"=> --C yu 與</p>
112、<p> case tmp is </p><p> when"0000"=>dotout<="0000000000000000";</p><p> when"0001"=>dotout<="0001000000000000";</p>
113、<p> when"0010"=>dotout<="0011001111111000";</p><p> when"0011"=>dotout<="0001001000000100";</p><p> when"0100"=>dotout&l
114、t;="0001001000100010";</p><p> when"0101"=>dotout<="0001001001100010";</p><p> when"0110"=>dotout<="0001001000100100";</p>
115、<p> when"0111"=>dotout<="0001001000100000";</p><p> when"1000"=>dotout<="0001001000100000";</p><p> when"1001"=>dotout&l
116、t;="0001001000100000";</p><p> when"1010"=>dotout<="0001001000100000";</p><p> when"1011"=>dotout<="0111111000100000";</p>
117、<p> when"1100"=>dotout<="0000000000100000";</p><p> when"1101"=>dotout<="0000000000100000";</p><p> when"1110"=>dotout&l
118、t;="0000000000100000";</p><p> when"1111"=>dotout<="0000000000000000";</p><p> when others=>null;</p><p><b> end case;</b></
119、p><p> when"1101"=> --D ji 技</p><p> case tmp is </p><p> when"0000"=>dotout<="0000000000000000";</p><p> whe
120、n"0001"=>dotout<="0001000000000100";</p><p> when"0010"=>dotout<="0001001000000110";</p><p> when"0011"=>dotout<="00010
121、01110000100";</p><p> when"0100"=>dotout<="0001001001001000";</p><p> when"0101"=>dotout<="1111111000110000";</p><p> whe
122、n"0110"=>dotout<="0001001000110000";</p><p> when"0111"=>dotout<="0001001011001000";</p><p> when"1000"=>dotout<="00010
123、01100000100";</p><p> when"1001"=>dotout<="0000000000000010";</p><p> when"1010"=>dotout<="0001010000000010";</p><p> whe
124、n"1011"=>dotout<="0001001000000000";</p><p> when"1100"=>dotout<="1111111111111110";</p><p> when"1101"=>dotout<="00010
125、00100000001";</p><p> when"1110"=>dotout<="0001000010000010";</p><p> when"1111"=>dotout<="0001000010000000";</p><p> whe
126、n others=>null;</p><p><b> end case;</b></p><p> when"1110"=> --E shu 術(shù)</p><p> case tmp is </p><p> when"0000&
127、quot;=>dotout<="0000000000000000";</p><p> when"0001"=>dotout<="0000100000010000";</p><p> when"0010"=>dotout<="0000100000011000&
128、quot;;</p><p> when"0011"=>dotout<="0000100000110000";</p><p> when"0100"=>dotout<="0010100001100000";</p><p> when"0101&
129、quot;=>dotout<="0100100010000000";</p><p> when"0110"=>dotout<="0000100100000000";</p><p> when"0111"=>dotout<="0000101000000000&
130、quot;;</p><p> when"1000"=>dotout<="1111111111111110";</p><p> when"1001"=>dotout<="0000101000000000";</p><p> when"1010&
131、quot;=>dotout<="0000100110000000";</p><p> when"1011"=>dotout<="0000100001000000";</p><p> when"1100"=>dotout<="0000100000100000&
132、quot;;</p><p> when"1101"=>dotout<="0000100000010000";</p><p> when"1110"=>dotout<="0000100000001000";</p><p> when"1111&
133、quot;=>dotout<="0000100000001000";</p><p> when others=>null;</p><p><b> end case;</b></p><p> when"1111"=> --F xi 系</p&g
134、t;<p> case tmp is </p><p> when"0000"=>dotout<="0000000000000000";</p><p> when"0001"=>dotout<="0000000000000000";</p&g
135、t;<p> when"0010"=>dotout<="1000000001000110";</p><p> when"0011"=>dotout<="1000000011001000";</p><p> when"0100"=>doto
136、ut<="1000000110010000";</p><p> when"0101"=>dotout<="1011001010100000";</p><p> when"0110"=>dotout<="1000100010000000";</p&g
137、t;<p> when"0111"=>dotout<="0100010011111110";</p><p> when"1000"=>dotout<="0100011010000001";</p><p> when"1001"=>doto
138、ut<="0110011010000010";</p><p> when"1010"=>dotout<="0101010110100000";</p><p> when"1011"=>dotout<="0100110110010000";</p&g
139、t;<p> when"1100"=>dotout<="0100010011001000";</p><p> when"1101"=>dotout<="0100000010000100";</p><p> when"1110"=>doto
140、ut<="0000000000000010";</p><p> when"1111"=>dotout<="0000000000000000";</p><p> when others=>null;</p><p><b> end case;</b>&
141、lt;/p><p> when others=>null; --others do nothing</p><p><b> end case;</b></p><p><b> end if;</b></p><p> end process; </p><
142、p><b> end rtl;</b></p><p> 3.4、實(shí)驗(yàn)箱驗(yàn)證情況</p><p> 按鍵鍵值與漢字對(duì)照表:</p><p><b> 圖2</b></p><p><b> 實(shí)驗(yàn)箱驗(yàn)證情況:</b></p><p><
143、;b> 圖3</b></p><p><b> 圖4</b></p><p><b> 圖5</b></p><p><b> 圖6</b></p><p><b> 圖7</b></p><p><
144、;b> 四、課程設(shè)計(jì)總結(jié)</b></p><p> 1、對(duì)于個(gè)人專業(yè)課程要穩(wěn)扎穩(wěn)打,實(shí)現(xiàn)理論運(yùn)用到實(shí)際中的能力。</p><p> 2、學(xué)會(huì)使用身邊的學(xué)習(xí)環(huán)境,利用圖書館和網(wǎng)絡(luò)資料查找自己需要的東西。</p><p> 3、多和別人交談,交流經(jīng)驗(yàn),正所謂三人行必有我?guī)煛?lt;/p><p> 4、要有良好的使用軟件的習(xí)
145、慣,按照規(guī)定排版。養(yǎng)成良好的電子設(shè)計(jì)習(xí)慣。</p><p> 5、通過此次試驗(yàn),我對(duì)于quartus II編寫VHDL語言以及模塊生成調(diào)用有了更為深刻的了解。明白在以后的學(xué)習(xí)設(shè)計(jì)過程中應(yīng)該按照一般電路設(shè)計(jì)的思路對(duì)系統(tǒng)設(shè)計(jì)進(jìn)行詳細(xì)的設(shè)計(jì)。</p><p> 6、培養(yǎng)了我的自學(xué)能力,學(xué)會(huì)了自己分析題目,找到解決方法。在圖書館收集資料,請(qǐng)教學(xué)長和老師。讓我感受到了師傅領(lǐng)進(jìn)門,學(xué)藝在個(gè)人的真諦
146、。</p><p> 7、在此還要感謝xx老師在我課程設(shè)計(jì)時(shí)的細(xì)心指導(dǎo)和無私幫助。</p><p><b> 五、參考文獻(xiàn)</b></p><p> [1] 陳榮 陳華?!禫HDL芯片設(shè)計(jì)》。機(jī)械工業(yè)出版社,2006</p><p> [2] 孫海平譯?!禫HDL——代碼編寫和基于SYNOPSYS工具的邏輯綜合
溫馨提示
- 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
- 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
- 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
- 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
- 5. 眾賞文庫僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
- 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
- 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。
最新文檔
- 單片機(jī)課程設(shè)計(jì)----led點(diǎn)陣漢字顯示
- eda課程設(shè)計(jì)--led點(diǎn)陣顯示控制
- led點(diǎn)陣顯示屏課程設(shè)計(jì)
- 課程設(shè)計(jì)--基于fpga的vga圖像漢字顯示及ps2鍵盤控制設(shè)計(jì)與應(yīng)用
- 單片機(jī)課程設(shè)計(jì)--16×16 led點(diǎn)陣顯示漢字
- 計(jì)算機(jī)控制課程設(shè)計(jì)---點(diǎn)陣led顯示系統(tǒng)
- LED漢字點(diǎn)陣顯示系統(tǒng)設(shè)計(jì).pdf
- 利用鍵盤開關(guān)控制液晶顯示器進(jìn)行十六進(jìn)制數(shù)字顯示
- LED漢字點(diǎn)陣顯示系統(tǒng)設(shè)計(jì).pdf
- eda課程設(shè)計(jì)--1616點(diǎn)陣顯示
- eda課程設(shè)計(jì)-- 1616點(diǎn)陣顯示
- 單片機(jī)課程設(shè)計(jì)---16×16點(diǎn)陣式漢字顯示
- 漢字LED點(diǎn)陣顯示.doc
- 漢字LED點(diǎn)陣顯示.doc
- sopceda綜合課程設(shè)計(jì)---1616的點(diǎn)陣顯示設(shè)計(jì)
- 基于at89c51 按鍵控制點(diǎn)陣顯示
- 利用按鍵開關(guān)控制數(shù)碼管進(jìn)行八進(jìn)制數(shù)字顯示課程設(shè)計(jì)
- led點(diǎn)陣顯示屏論文 led漢字點(diǎn)陣論文 led點(diǎn)陣顯示論文
- 微機(jī)原理課程設(shè)計(jì)----鍵盤接收日期并顯示
- 課程設(shè)計(jì)--led1616點(diǎn)陣顯示設(shè)計(jì)
評(píng)論
0/150
提交評(píng)論