版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進行舉報或認領(lǐng)
文檔簡介
1、<p> 存檔資料 成績: </p><p> 課 程 設(shè) 計 報 告 書</p><p> 所屬課程: EDA技術(shù)及應(yīng)用 </p><p> 設(shè)計題目: 正弦函數(shù)信號發(fā)生器的設(shè)計 </p>&l
2、t;p> 分 院: 電 信 分 院 </p><p> 班 級: </p><p> 姓 名: </p><p> 學 號: </p><
3、;p> 指導教師: </p><p> 實驗地點: 實驗樓五樓(EDA實驗室506) </p><p> 2010 年 6 月 19 日</p><p><b> 課程設(shè)計任務(wù)書</b></p><p> 一、課程設(shè)計題目
4、 </p><p> 正弦函數(shù)信號發(fā)生器的設(shè)計 </p><p> 二、課程設(shè)計工作:自 2011 年 6月 16 日起至 2011 年 6 月20 日止。</p><p> 三、課程設(shè)計的內(nèi)容要求:</p><p> 1
5、、識別各種Quartus II軟件中各元件及其圖形表示和文字符號。 </p><p> 2、學會如何使用Quartus II。 </p><p> 3、掌握VHDL語言的編程思想和VHDL語言的基本使用規(guī)則。 </p><p> 4、熟練掌握正弦函數(shù)信號發(fā)生器的工
6、作原理,并讀懂源程序。 </p><p> 5、按照編譯、調(diào)試、仿真的正確步驟,并正確進行調(diào)試和仿真。 </p><p> 6、學會分析仿真圖。 </p><p> 學生簽名: </p><p> 2011年 6
7、月 19日</p><p><b> 目 錄</b></p><p> 課程設(shè)計評閱意見1</p><p><b> 目 錄2</b></p><p> 第一章 設(shè)計目的3</p><p> 第二章 設(shè)計要求3</p><
8、p> 第三章 設(shè)計內(nèi)容3</p><p> 第四章 設(shè)計原理3</p><p> 第五章 設(shè)計步驟4</p><p> 5.1建立.mif格式文件4</p><p> 5.2建立.hex格式文件5</p><p> 5.3定制LPM_ROM5</p><p>
9、 5.4完成頂層設(shè)計11</p><p> 第六章 課程設(shè)計總結(jié)13</p><p><b> 參考文獻14</b></p><p><b> 第一章 設(shè)計目的</b></p><p> 進一步熟悉QuartusII 6.0及其LPM_ROM與FPGA硬件資源的使用方法。培養(yǎng)動手能力
10、以及諧作能力。</p><p><b> 第二章 設(shè)計要求</b></p><p> 1、CLK為12MHz。</p><p> 2、通過DAC0832輸出正弦波電壓信號,電壓范圍0~-5V。</p><p> 3、通過仿真觀察波形。</p><p><b> 第三章 設(shè)計內(nèi)容
11、</b></p><p> 在Quartus II上完成正弦波信號發(fā)生器的設(shè)計,包括仿真和資源利用情況了解(假設(shè)利用Cyclone器件)。最后在實驗系統(tǒng)上實測,包括FPGA中ROM的在系統(tǒng)數(shù)據(jù)讀寫測試和仿真測試。信號輸出的D/A使用實驗系統(tǒng)上的DAC0832。</p><p><b> 第四章 設(shè)計原理</b></p><p>
12、 正弦波信號發(fā)生器的結(jié)構(gòu)由四部分組成:</p><p> 1、計數(shù)器或地址發(fā)生器(這里選擇6位)。</p><p> 2、正弦信號數(shù)據(jù)ROM(6位地址線,8位數(shù)據(jù)線),含有64個8位數(shù)據(jù)(一個周期)。</p><p> 3、VHDL頂層設(shè)計。</p><p> 4、8位D/A(實驗中可用ADC0832代替)。</p>
13、<p> 圖1所示的信號發(fā)生器結(jié)構(gòu)框圖中,頂層文件singt.vhd在FPGA中實現(xiàn),包含兩個部分:ROM的地址信號發(fā)生器,由6位計數(shù)器擔任;一個正弦數(shù)據(jù)ROM,由LPM_ROM模塊構(gòu)成。LPM_ROM底層是FPGA中的M4K模塊。地址發(fā)生器的時鐘CLK的輸入頻率與每周期的波形數(shù)據(jù)點數(shù)(在此選擇64點),以及D/A輸出的頻率f的關(guān)系是:f=fo/64</p><p> 圖1 正弦信號發(fā)生器結(jié)構(gòu)框圖
14、</p><p><b> 第五章 設(shè)計步驟</b></p><p> 首先確定如圖1中所示的波形數(shù)據(jù)文件。Quartus II能接受的LPM_ROM模塊中的初始化數(shù)據(jù)文件的格式有兩種:Memory Initialization File文件(.mif 文件)格式和Hexadecimal(Intel-Format)File文件(.hex文件) 格式 。
15、
16、 </p><p> 5.1建立.mif格式文件</p><p> 首先在Quartus II中選擇ROM數(shù)據(jù)文件編輯窗口,
17、即在File菜單中選擇New并在New窗口選擇Other file頁,再選擇Memory Initialization File項,單擊OK按鈕后產(chǎn)生ROM數(shù)據(jù)文件大小選擇窗口。根據(jù)64點8位正弦數(shù)據(jù)的情況,可選ROM的數(shù)據(jù)數(shù)Number為64位,數(shù)據(jù)寬Word size取8位。單擊OK按鈕,將出現(xiàn)如圖2所示的空的mif數(shù)據(jù)表格,表格中的數(shù)據(jù)格式可通過鼠標右鍵單擊窗口邊緣的地址數(shù)據(jù)彈出窗口選擇。此表中任一數(shù)據(jù)(如第三行的99)對應(yīng)的地址
18、為左列與頂行數(shù)之和(如16+2=18,十六進制為12,即00010010)。然后將波形數(shù)據(jù)填入此表中。最后在File菜單單擊Save as按鈕,保存此數(shù)據(jù)文件,這里不妨取名romd.mif。</p><p> 圖2 將波形數(shù)據(jù)填入mif文件表中</p><p> 5.2建立.hex格式文件</p><p> 建立.hex格式文件,與建立.mif格式文件方法相同
19、,只是在New窗口中選擇Other file 項后,選擇Hexadecimal(Inter-Format) File項,最后存盤.hex格式文件。如圖3所示。</p><p> 圖3 將波形數(shù)據(jù)填入hex文件表轉(zhuǎn)換成十六進制的數(shù)據(jù)表</p><p> 5.3定制LPM_ROM</p><p> 在設(shè)計正弦波信號發(fā)生器前,必須首先完成存放波形數(shù)據(jù)ROM的設(shè)計。利
20、用MegaWizard Plug-In manager定制正弦信號數(shù)據(jù)ROM宏功能塊,并將以上的波形數(shù)據(jù)加載于此ROM中。設(shè)計步驟如下:</p><p> (1)打開 MegaWizard Plug-In manager 初始對話框。在 Tools 菜單中選擇MegaWizard Plug-In manager產(chǎn)生一個對話框,選擇Create a new custom...項,即定制一個新的模塊。單擊Next按
21、鈕后,在所產(chǎn)生的對話框的左欄選擇Storage項下的LPM_ROM,再選擇Cyclone器件和VHDL語言方式;最后輸入ROM文件存放的路徑和文件名,單擊Next按鈕。</p><p> (2)選擇ROM控制線、地址線和數(shù)據(jù)線。在彈出的對話框中選擇地址線位寬和ROM中數(shù)據(jù)數(shù)分別為6和64;選擇地址鎖存控制信號inclock。</p><p> (3)單擊Next按鈕在對話框的“What
22、 should the RAM…”欄選擇默認的Auto。在欄選擇“Do you want to…Yes,use this file for memory content data”項,并按Browse鈕,選擇指定路徑上的文件data_rom.mif。在“Allow In-System Memory…”欄選擇打勾,并在“The Instance ID of this ROM”欄輸入ROM1,作為ROM的ID名稱。最后單擊Next按鈕,再單
23、擊Finish 按鈕后完成ROM定制。</p><p> (4)打開此文件可以看到其中調(diào)用初始化數(shù)據(jù)文件的語句為:init_file => "sdata.mif"。其中的init_file指向已做了修改。修改后用于例化的波形數(shù)據(jù)ROM文件data_rom.vhd如下。</p><p> data_rom.vhd 源代碼:</p><p>
24、; -- megafunction wizard: %LPM_ROM%</p><p> -- GENERATION: STANDARD</p><p> -- VERSION: WM1.0</p><p> -- MODULE: altsyncram </p><p> -- ===========================
25、=================================</p><p> -- File Name: data_rom.vhd</p><p> -- Megafunction Name(s):</p><p> -- altsyncram</p><p> -- =============================
26、===============================</p><p> -- ************************************************************</p><p> -- THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE!</p><p>
27、 -- 6.0 Build 178 04/27/2006 SJ Full Version</p><p> -- ************************************************************</p><p> -- Copyright (C) 1991-2006 Altera Corporation</p><p>
28、 -- Your use of Altera Corporation's design tools, logic functions </p><p> -- and other software and tools, and its AMPP partner logic </p><p> -- functions, and any output files any of t
29、he foregoing </p><p> -- (including device programming or simulation files), and any </p><p> -- associated documentation or information are expressly subject </p><p> -- to the
30、terms and conditions of the Altera Program License </p><p> -- Subscription Agreement, Altera MegaCore Function License </p><p> -- Agreement, or other applicable license agreement, including,
31、 </p><p> -- without limitation, that your use is for the sole purpose of </p><p> -- programming logic devices manufactured by Altera and sold by </p><p> -- Altera or its autho
32、rized distributors. Please refer to the </p><p> -- applicable agreement for further details.</p><p> LIBRARY ieee; --庫說明</p><p> USE ieee.std_logic_1164.all; --調(diào)用程序包</p>
33、<p> LIBRARY altera_mf; </p><p> USE altera_mf.all; --使用宏功能庫中的所有元件</p><p> ENTITY data_rom IS --定義實體(正弦波數(shù)據(jù)存儲器)</p><p> PORT --data_rom 端口說明</p><p&
34、gt; (address: IN STD_LOGIC_VECTOR (5 DOWNTO 0);</p><p> inclock: IN STD_LOGIC ;</p><p> q: OUT STD_LOGIC_VECTOR (7 DOWNTO 0));</p><p> END data_rom; --結(jié)束定義實體</p><p&g
35、t; ARCHITECTURE SYN OF data_rom IS --定義結(jié)構(gòu)體</p><p> SIGNAL sub_wire0: STD_LOGIC_VECTOR (7 DOWNTO 0);</p><p> COMPONENT altsyncram --例化altsyncram元件;調(diào)用LPM模塊altsyncram</p><p> GE
36、NERIC ( --參數(shù)傳遞語句</p><p> address_aclr_a: STRING; </p><p> init_file: STRING;</p><p> intended_device_family: STRING;--類屬參量數(shù)據(jù)類型定義</p><p> lpm_hint: STRIN
37、G;</p><p> lpm_type: STRING;</p><p> numwords_a: NATURAL;</p><p> operation_mode: STRING;</p><p> outdata_aclr_a: STRING;</p><p> outdata_reg_a: STRI
38、NG;</p><p> widthad_a: NATURAL;</p><p> width_a: NATURAL;</p><p> width_byteena_a: NATURAL);</p><p> PORT ( --altsyncram元件接口說明</p>
39、<p> clock0: IN STD_LOGIC ;</p><p> address_a: IN STD_LOGIC_VECTOR (5 DOWNTO 0);</p><p> q_a: OUT STD_LOGIC_VECTOR (7 DOWNTO 0));</p><p> END COMPONENT;</p><p
40、><b> BEGIN</b></p><p> q<= sub_wire0(7 DOWNTO 0);</p><p> altsyncram_component: altsyncram</p><p> GENERIC MAP (</p><p> address_aclr_a => &qu
41、ot;NONE", --無異步地址清零</p><p> init_file => "D:/myproject/zidata/sdata.hex", --ROM初始化數(shù)據(jù)文件,此處已修改過</p><p> intended_device_family => "Cyclone", --參數(shù)傳遞映射</p>&l
42、t;p> lpm_hint => "ENABLE_RUNTIME_MOD=NO", </p><p> lpm_type => "altsyncram", --LPM數(shù)據(jù)類型</p><p> numwords_a => 64, --數(shù)據(jù)數(shù)量64</p><p> operation_mod
43、e => "ROM", --LPM模式ROM</p><p> outdata_aclr_a => "NONE", --無輸出鎖存異步清0</p><p> outdata_reg_a => "UNREGISTERED", --輸出無鎖存</p><p> widthad_a =&g
44、t; 6, --地址線寬度6</p><p> width_a => 8, --數(shù)據(jù)線寬度8</p><p> width_byteena_a => 1 --byteena_a輸入口寬度為1</p><p><b> )</b></p><p> PORT MAP (</p><
45、;p> clock0 => inclock,address_a => address,q_a => sub_wire0);</p><p><b> END SYN;</b></p><p> -- ============================================================</p>
46、<p> -- CNX file retrieval info</p><p> -- ============================================================</p><p> -- Retrieval info: PRIVATE: ADDRESSSTALL_A NUMERIC "0"</p&g
47、t;<p> -- Retrieval info: PRIVATE: AclrAddr NUMERIC "0"</p><p> -- Retrieval info: PRIVATE: AclrByte NUMERIC "0"</p><p> -- Retrieval info: PRIVATE: AclrOutput NUME
48、RIC "0"</p><p> -- Retrieval info: PRIVATE: BYTE_ENABLE NUMERIC "0"</p><p> -- Retrieval info: PRIVATE: BYTE_SIZE NUMERIC "8"</p><p> -- Retrieval i
49、nfo: PRIVATE: BlankMemory NUMERIC "0"</p><p> -- Retrieval info: PRIVATE: CLOCK_ENABLE_INPUT_A NUMERIC "0"</p><p> -- Retrieval info: PRIVATE: CLOCK_ENABLE_OUTPUT_A NUMERIC
50、 "0"</p><p> -- Retrieval info: PRIVATE: Clken NUMERIC "0"</p><p> -- Retrieval info: PRIVATE: IMPLEMENT_IN_LES NUMERIC "0"</p><p> -- Retrieval inf
51、o: PRIVATE: INIT_FILE_LAYOUT STRING "PORT_A"</p><p> -- Retrieval info: PRIVATE: INIT_TO_SIM_X NUMERIC "0"</p><p> -- Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING
52、 "Cyclone"</p><p> -- Retrieval info: PRIVATE: JTAG_ENABLED NUMERIC "0"</p><p> -- Retrieval info: PRIVATE: JTAG_ID STRING "NONE"</p><p> -- Retriev
53、al info: PRIVATE: MAXIMUM_DEPTH NUMERIC "0"</p><p> -- Retrieval info: PRIVATE: MIFfilename STRING "D:/myproject/zidata/sdata.hex"</p><p> -- Retrieval info: PRIVATE: NUMWO
54、RDS_A NUMERIC "64"</p><p> -- Retrieval info: PRIVATE: RAM_BLOCK_TYPE NUMERIC "0"</p><p> -- Retrieval info: PRIVATE: RegAddr NUMERIC "1"</p><p> --
55、 Retrieval info: PRIVATE: RegOutput NUMERIC "0"</p><p> -- Retrieval info: PRIVATE: SingleClock NUMERIC "0"</p><p> -- Retrieval info: PRIVATE: UseDQRAM NUMERIC "0&quo
56、t;</p><p> -- Retrieval info: PRIVATE: WidthAddr NUMERIC "6"</p><p> -- Retrieval info: PRIVATE: WidthData NUMERIC "8"</p><p> -- Retrieval info: CONSTANT: AD
57、DRESS_ACLR_A STRING "NONE"</p><p> -- Retrieval info: CONSTANT: INIT_FILE STRING "D:/myproject/zidata/sdata.hex"</p><p> -- Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY
58、 STRING "Cyclone"</p><p> -- Retrieval info: CONSTANT: LPM_HINT STRING "ENABLE_RUNTIME_MOD=NO"</p><p> -- Retrieval info: CONSTANT: LPM_TYPE STRING "altsyncram"&l
59、t;/p><p> -- Retrieval info: CONSTANT: NUMWORDS_A NUMERIC "64"</p><p> -- Retrieval info: CONSTANT: OPERATION_MODE STRING "ROM"</p><p> -- Retrieval info: CONSTA
60、NT: OUTDATA_ACLR_A STRING "NONE"</p><p> -- Retrieval info: CONSTANT: OUTDATA_REG_A STRING "UNREGISTERED"</p><p> -- Retrieval info: CONSTANT: WIDTHAD_A NUMERIC "6&quo
61、t;</p><p> -- Retrieval info: CONSTANT: WIDTH_A NUMERIC "8"</p><p> -- Retrieval info: CONSTANT: WIDTH_BYTEENA_A NUMERIC "1"</p><p> -- Retrieval info: USED_P
62、ORT: address 0 0 6 0 INPUT NODEFVAL address[5..0]</p><p> -- Retrieval info: USED_PORT: inclock 0 0 0 0 INPUT NODEFVAL inclock</p><p> -- Retrieval info: USED_PORT: q 0 0 8 0 OUTPUT NODEFVAL q
63、[7..0]</p><p> -- Retrieval info: CONNECT: @address_a 0 0 6 0 address 0 0 6 0</p><p> -- Retrieval info: CONNECT: q 0 0 8 0 @q_a 0 0 8 0</p><p> -- Retrieval info: CONNECT: @cloc
64、k0 0 0 0 0 inclock 0 0 0 0</p><p> -- Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all</p><p> -- Retrieval info: GEN_FILE: TYPE_NORMAL data_rom.vhd TRUE</p><p&
65、gt; -- Retrieval info: GEN_FILE: TYPE_NORMAL data_rom.inc FALSE</p><p> -- Retrieval info: GEN_FILE: TYPE_NORMAL data_rom.cmp TRUE</p><p> -- Retrieval info: GEN_FILE: TYPE_NORMAL data_rom.bs
66、f TRUE</p><p> -- Retrieval info: GEN_FILE: TYPE_NORMAL data_rom_inst.vhd FALSE2) </p><p> 定制好LPM模塊后應(yīng)將其設(shè)置成工程進行仿真測試,以確保其功能的可靠,并熟悉該元件的時序情況。對于LPM_ROM,通過仿真測試了解數(shù)據(jù)文件是否被加載進去。</p><p><
67、b> 5.4完成頂層設(shè)計</b></p><p> 頂層的設(shè)計主要包括編輯頂層文件、創(chuàng)建工程、全程編譯、觀察RTL電路圖、仿真、了解時序分析結(jié)果、引腳鎖定、等等。</p><p> ?。?)信號發(fā)生器的頂層設(shè)計文件如下所示:</p><p> library ieee; --庫說明</p><p> use ieee
68、.std_logic_1164.all; --引用程序包</p><p> use ieee.std_logic_unsigned.all; --引用程序包</p><p> entity singt is --定義信號發(fā)生器實體</p><p> port( clk: in std_logic; --信號源時鐘</p><p>
69、 dout: out std_logic_vector(7 downto 0)); --8位波形數(shù)據(jù)輸出</p><p> end singt; --結(jié)束實體定義</p><p> architecture dacc of singt is --定義結(jié)構(gòu)體</p><p> component data_rom --調(diào)用波形數(shù)據(jù)存儲器LPM_ROM,d
70、ata_rom.vhd聲明</p><p> port( address: in std_logic_vector(5 downto 0); --6位地址信號</p><p> inclock: in std_logic; --地址鎖存時鐘</p><p> q: out std_logic_vector(7 downto 0));</p>
71、<p> end component;</p><p> signal q1:std_logic_vector(5 downto 0); --設(shè)內(nèi)部節(jié)點作為地址計數(shù)器</p><p><b> begin</b></p><p> process(clk) --LPM_ROM地址發(fā)生進程</p>&l
72、t;p><b> begin</b></p><p> if clk'event and clk='1' then q1<=q1+1;--Q1作為地址發(fā)生器計數(shù)器</p><p><b> end if;</b></p><p> end process;</p>
73、<p> u1: data_rom port map (address=>q1,q=>dout,inclock=>clk); --例化</p><p> end dacc; --結(jié)束結(jié)構(gòu)體,結(jié)束本程序</p><p> 2)為此頂層設(shè)計創(chuàng)建一項工程,工程名和實體名都是singt。</p><p> 3)全程編譯一次后進入時序仿真
74、測試。圖4是仿真過程截圖,由波形可見,隨著每一個時鐘上升沿的到來,輸出端口將正弦波數(shù)據(jù)依次輸出。 </p><p> 圖4 仿真波形輸出圖</p><p> 第六章 課程設(shè)計總結(jié)</p><p> 在本次設(shè)計的過程中,我在編譯過程中出現(xiàn)問題,第一個問題出在定制LPM_ROM的過程中,我按照書上的指導一步步進行下去,可是到最后還是沒編譯出來,后來在老
75、師和同學的指導下,發(fā)現(xiàn)問題所在,因我用的quartus軟件版本太低, 后來在重新安裝了一個更高版本的軟件,即quartus6.0版本,本以為這樣就沒問題了,當我把第一個問題解決完的時候,第二個問題接踵而至。 </p><p> 第二個問題出現(xiàn)在完成存放波形數(shù)據(jù)ROM的設(shè)計過程中,沒有正確的選擇指定路徑文件名romd.mif導致用于例化的波形數(shù)據(jù)ROM文件data_rom.vhd編譯失敗,經(jīng)過同學的指導和我的思考
76、和分析后,我正確的選擇指定路徑文件名romd.mif,這樣編譯正確通過。第三個問題是,在仿真的過程中,我對仿真的具體流程不太熟悉,因為平時做實驗都用的是max+plus2軟件在進行編譯仿真,最后,在老師和同學的熱情幫助下,順利完成仿真。并熟練地掌握了quartus的使用方法。在這次設(shè)計中,我也深深地體會到“細節(jié)決定成敗”這句話的真正含義,也許就因為一個小的細節(jié)就會導致設(shè)計的失敗。這次設(shè)計也啟發(fā)了我在以后的學習中一定要耐心、細心、認真,不
77、可粗枝大葉,不懂的時候一定得虛心向別人請教。 </p><p><b> 參 考 文 獻</b></p><p> [1] 潘松、黃繼業(yè). EDA實用教程. 北京:科學出版社,2006</p><p> [2] 沈明山編著.EDA技術(shù)及可編程器件應(yīng)用實訓 北京:科學出版社,2004</p><p> [3] 崔建
78、明主編.電工電子EDA仿真技術(shù) 北京:高等教育出版社,2004</p><p> [4] 侯繼紅.李向東主編,EDA實用技術(shù)教程 北京:中國電力出版社,2004</p><p> [5] 李衍編著.EDA技術(shù)入門與提高王行 西安:西安電子科技大學出版社,2005</p><p> [6] 林明權(quán)等編著.馬維旻改編,VHDL數(shù)字控制系統(tǒng)設(shè)計范例 北京:電子工業(yè)出
溫馨提示
- 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
- 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
- 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預覽,若沒有圖紙預覽就沒有圖紙。
- 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
- 5. 眾賞文庫僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負責。
- 6. 下載文件中如有侵權(quán)或不適當內(nèi)容,請與我們聯(lián)系,我們立即糾正。
- 7. 本站不保證下載資源的準確性、安全性和完整性, 同時也不承擔用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。
最新文檔
- eda課程設(shè)計---函數(shù)信號發(fā)生器的設(shè)計
- eda課程設(shè)計——基于dds的正弦信號發(fā)生器設(shè)計
- eda設(shè)計實驗課程設(shè)計-函數(shù)信號發(fā)生器
- eda課程報告---正弦波信號發(fā)生器的設(shè)計
- 信號發(fā)生器課程設(shè)計報告--函數(shù)信號發(fā)生器的設(shè)計
- eda課程設(shè)計--信號發(fā)生器
- 函數(shù)信號發(fā)生器課程設(shè)計報告
- 函數(shù)信號發(fā)生器課程設(shè)計報告
- 信號發(fā)生器課程設(shè)計----函數(shù)信號發(fā)生器
- 課程設(shè)計---函數(shù)信號發(fā)生器設(shè)計報告
- 信號發(fā)生器課程設(shè)計---函數(shù)發(fā)生器的設(shè)計
- eda課程設(shè)計-簡易信號發(fā)生器
- eda課程設(shè)計--dds信號發(fā)生器
- dsp課程設(shè)計---正弦信號發(fā)生器的設(shè)計
- dsp課程設(shè)計--正弦信號發(fā)生器的設(shè)計
- 函數(shù)信號發(fā)生器課程設(shè)計
- 函數(shù)信號發(fā)生器課程設(shè)計
- 函數(shù)信號發(fā)生器課程設(shè)計
- 函數(shù)信號發(fā)生器課程設(shè)計
- dsp課程設(shè)計--正弦信號發(fā)生器的設(shè)計
評論
0/150
提交評論