版權(quán)說(shuō)明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)
文檔簡(jiǎn)介
1、<p><b> 一.課程設(shè)計(jì)概述</b></p><p><b> 1.設(shè)計(jì)的題目</b></p><p><b> 樂(lè)曲播放電路</b></p><p><b> 2.設(shè)計(jì)要求:</b></p><p> 學(xué)習(xí)利用數(shù)控分頻器設(shè)計(jì)硬件
2、樂(lè)曲演奏電路,在實(shí)驗(yàn)四的基礎(chǔ)上,改建電路的設(shè)計(jì),增加功能自動(dòng)選擇曲目,可選的歌曲不少于3首。</p><p><b> 3.設(shè)計(jì)構(gòu)思</b></p><p> ?。?)音樂(lè)硬件演奏電路基本原理 </p><p> 硬件電路的發(fā)聲原理,聲音的頻譜范圍約在幾十到幾千赫茲,若能利用程序來(lái)控制FPGA芯片某個(gè)引腳輸出一定頻率的矩形波,接上揚(yáng)聲器就能
3、發(fā)出相應(yīng)頻率的聲音。樂(lè)曲中的每一音符對(duì)應(yīng)著一個(gè)確定的頻率,要想FPGA發(fā)出不同音符的音調(diào),實(shí)際上只要控制它輸出相應(yīng)音符的頻率即可。樂(lè)曲都是由一連串的音符組成,因此按照樂(lè)曲的樂(lè)譜依次輸出這些音符所對(duì)應(yīng)的頻,就可以在揚(yáng)聲器上連續(xù)地發(fā)出各個(gè)音符的音調(diào)。而要準(zhǔn)確地演奏出一首樂(lè)曲,僅僅讓揚(yáng)聲器能夠發(fā)生是不夠的,還必須準(zhǔn)確地控制樂(lè)曲的節(jié)奏,即樂(lè)曲中每個(gè)音符的發(fā)生頻率及其持續(xù)時(shí)間是樂(lè)曲能夠連續(xù)演奏的兩個(gè)關(guān)鍵因素。</p><p&g
4、t; ?。?) 音符頻率的獲得</p><p> 多個(gè)不同頻率的信號(hào)可通過(guò)對(duì)某個(gè)基準(zhǔn)頻率進(jìn)行分頻器獲得。由于各個(gè)音符的頻率多為非整數(shù),而分頻系數(shù)又不能為小數(shù),故必須將計(jì)算機(jī)得到的分頻系數(shù)四舍五入取整。若基準(zhǔn)頻率過(guò)低,則分頻系數(shù)過(guò)小,四舍五入取整后的誤差較大。若基準(zhǔn)頻率過(guò)高,雖然可以減少頻率的相對(duì)誤差,但分頻結(jié)構(gòu)將變大。實(shí)際上應(yīng)該綜合考慮這兩個(gè)方面的因素,在盡量減少誤差的前提下,選取合適的基準(zhǔn)頻率。本設(shè)計(jì)中選取1
5、MHz的基準(zhǔn)頻率。數(shù)控分頻器采用12位二進(jìn)制計(jì)數(shù)器,樂(lè)曲中的休止符,只要將分頻系數(shù)設(shè)為0,即初始值=4095,此時(shí)揚(yáng)聲器不會(huì)發(fā)聲。</p><p> 根據(jù)分頻系數(shù),可計(jì)算數(shù)控分頻器得到的初始值。(語(yǔ)言已經(jīng)無(wú)法描述其中的原理了,程序可以說(shuō)明此問(wèn)題,關(guān)于初始值的解釋,請(qǐng)看下文給出的程序)</p><p> 初始值的計(jì)算公式如下:由于所設(shè)計(jì)的數(shù)控分頻計(jì)采用12MHZ作為時(shí)鐘源,并通過(guò)一次12
6、分頻給出頻率為1MHZ的脈沖溢出信號(hào),再對(duì)該1MHZ的溢出信號(hào)進(jìn)行12位2進(jìn)制碼的帶預(yù)置數(shù)進(jìn)行計(jì)數(shù),并給出一個(gè)頻率隨預(yù)置數(shù)變化的脈沖信號(hào)。由于該脈沖信號(hào)不具有驅(qū)動(dòng)蜂鳴器的能力,故對(duì)此脈沖信號(hào)進(jìn)行2分頻以推動(dòng)蜂鳴器發(fā)聲</p><p> (3) 樂(lè)曲節(jié)奏的控制 </p><p> 一般樂(lè)曲最小的節(jié)拍為1/4拍,若將1拍的時(shí)間定為1秒,則只需要輸出4Hz的1/4拍的時(shí)長(zhǎng)(0.25秒),對(duì)于
7、其它占用時(shí)間較長(zhǎng)的節(jié)拍(必為1/4拍的整數(shù)倍)則只需要將該音符連續(xù)輸出相應(yīng)的次數(shù)即可。</p><p> 計(jì)數(shù)時(shí)鐘信號(hào)作為輸出音符快慢的控制信號(hào),時(shí)鐘快時(shí)輸出節(jié)拍速度就快,演奏的速度也就快,時(shí)鐘慢時(shí)輸出節(jié)拍的速度就慢,演奏的速度自然降低,由于最后的蜂鳴器前需加一個(gè)二分頻的程序,因此計(jì)數(shù)器的時(shí)鐘信號(hào)應(yīng)為4Hz的2倍,即8Hz。</p><p><b> ?。?) 樂(lè)譜的發(fā)生<
8、;/b></p><p> 本設(shè)計(jì)將樂(lè)譜中的音符數(shù)據(jù)存儲(chǔ)在LPM-ROM中,若某音在邏輯中停留了4個(gè)時(shí)鐘節(jié)拍,即1秒的時(shí)間,相應(yīng)地,該音符就要在LPM-ROM中連續(xù)的四個(gè)地址上都存儲(chǔ)。當(dāng)一個(gè)4Hz的時(shí)鐘來(lái)時(shí),相應(yīng)地就從LPM-ROM中輸出一個(gè)音符數(shù)據(jù)。</p><p><b> 選擇模塊</b></p><p> 選擇模塊將用一個(gè)4
9、位數(shù)的控制信號(hào)控制樂(lè)譜模塊數(shù)據(jù)的選擇性。</p><p><b> 二.總體框圖</b></p><p><b> 三.具體各功能模塊</b></p><p><b> 1.計(jì)數(shù)器模塊</b></p><p> 在notetabs中設(shè)置了一個(gè)8位二進(jìn)制計(jì)數(shù)器(計(jì)數(shù)最大值
10、為138),作為音符數(shù)據(jù)ROM的地址發(fā)生器。這個(gè)計(jì)數(shù)器的計(jì)數(shù)頻率為4HZ,即每一計(jì)數(shù)值的停留時(shí)間為0.25秒,恰為當(dāng)全音符設(shè)為1秒時(shí),四四拍的4分音符持續(xù)的時(shí)間。例如,notetabs在以下的VHDL邏輯描述中,《梁?!窐?lè)曲的第一個(gè)音符為“3”,此音在邏輯中停留了4個(gè)時(shí)鐘節(jié)拍,即1秒的時(shí)間,相應(yīng)的,所對(duì)應(yīng)的“3”音符分頻預(yù)制值為1036,在speakera中的輸入端停留1秒。隨著notetabs中計(jì)數(shù)器按4HZ的時(shí)鐘頻率作加法計(jì)數(shù)時(shí),即
11、隨地址值遞增時(shí),音符數(shù)據(jù)ROM中的音符從ROM中通過(guò)tonelndex端口輸向tonetaba模塊,《梁?!窐?lè)曲開(kāi)始連續(xù)自然地演奏起來(lái)。</p><p><b> ?。?)模塊圖像:</b></p><p><b> (2)模塊程序</b></p><p> library ieee;</p><p
12、> use ieee.std_logic_1164.all;</p><p> use ieee.std_logic_unsigned.all;</p><p> entity notetabs is</p><p> port (clk:in std_logic;</p><p> counter:inout std_log
13、ic_vector(7 downto 0));</p><p><b> end;</b></p><p> architecture one of notetabs is</p><p><b> begin</b></p><p> cnt8:process(clk,counter)&l
14、t;/p><p><b> begin</b></p><p> if counter =138 then counter <="00000000";</p><p> elsif (clk'event and clk='1') then counter <=counter+1;<
15、/p><p><b> end if;</b></p><p> end process;</p><p><b> end;</b></p><p><b> (3)仿真波形</b></p><p><b> (4)波形分析</b
16、></p><p> 由波形可看出來(lái),CLK為輸入時(shí)鐘信號(hào),八位輸出二進(jìn)制信號(hào)在時(shí)鐘上升沿加一,經(jīng)分析,該模塊的功能正確。</p><p><b> 2.選擇模塊</b></p><p> 選擇器完成歌曲的選擇功能,當(dāng)a輸入為0001時(shí),將Q1信號(hào)輸出,對(duì)應(yīng)歌曲為《梁?!罚?lt;/p><p> 當(dāng)a為0010
17、時(shí),將Q2的信號(hào)輸出,對(duì)應(yīng)的歌曲為《隱形的翅膀》;</p><p> 當(dāng)a為0011時(shí),將Q3的信號(hào)輸出,對(duì)應(yīng)的歌曲為《生日快樂(lè)》。</p><p><b> ?。?)模塊圖像</b></p><p><b> (2)程序;</b></p><p> LIBRARY IEEE; </p&
18、gt;<p> USE IEEE.STD_LOGIC_1164.ALL; </p><p> USE IEEE.STD_LOGIC_UNSIGNED.ALL; </p><p> ENTITY choice IS </p><p> PORT(a: IN STD_LOGIC_vector(3 downto 0); </p>
19、<p> b:out STD_LOGIC_vector(3 downto 0); </p><p> INDEX :OUT STD_LOGIC_vector(4 downto 0); </p><p> Q1:IN STD_LOGIC_vector(4 downto 0); </p><p> Q2:IN STD_LOGIC_vector(4
20、downto 0);</p><p> Q3:IN STD_LOGIC_vector(4 downto 0)); </p><p> END choice; </p><p> ARCHITECTURE a OF choice IS BEGIN </p><p> PROCESS (a,Q1,Q2,Q3) </p>&l
21、t;p><b> BEGIN </b></p><p> CASE a IS WHEN "0001" => </p><p> b<="0001"; </p><p> INDEX<=Q1;</p><p> WHEN "0011&
22、quot; => </p><p> b<="0010"; </p><p> INDEX<=Q2;</p><p> WHEN "0010" => </p><p> b<="0011"; </p><p> IND
23、EX<=Q3; </p><p> WHEN OTHERS => null; </p><p> END CASE; </p><p> END PROCESS;</p><p><b> END a;</b></p><p><b> (3)波形圖
24、</b></p><p><b> (4)波形分析</b></p><p> 由波形分析可知,當(dāng)a輸入為0001時(shí),輸出信號(hào)b與Q1信號(hào)相同,即播放第一首樂(lè)曲,此時(shí)b的信號(hào)輸出為0001,因此該模塊的功能符合要求。</p><p><b> 3.數(shù)據(jù)翻譯模塊</b></p><p>
25、; 音符的持續(xù)時(shí)間需根據(jù)樂(lè)曲的速度及每個(gè)音符的節(jié)拍數(shù)來(lái)決定。Tonetaba模塊的功能首先是為speakera提供決定所發(fā)音符的分頻預(yù)置數(shù),而此數(shù)在speakera輸入口停留的時(shí)間即為此音符的節(jié)拍值。模塊tonetaba是樂(lè)曲簡(jiǎn)譜碼對(duì)應(yīng)的分頻預(yù)置數(shù)查表電路,其中設(shè)置了三首樂(lè)曲全部音符所對(duì)應(yīng)的分頻預(yù)置數(shù),每一音符的停留時(shí)間有音樂(lè)節(jié)拍和音調(diào)發(fā)生器模塊notetabs的CLK的輸入決定,在此為4HZ。</p><p>
26、;<b> (1)模塊圖像</b></p><p><b> ?。?)程序</b></p><p> library ieee;</p><p> use ieee.std_logic_1164.all;</p><p> entity tonetaba is</p><
27、p> port (index:in std_logic_vector(4 downto 0);</p><p> code: out std_logic_vector (4 downto 0);</p><p> high: out std_logic;</p><p> tone:out std_logic_vector(10 downto 0));
28、</p><p><b> end;</b></p><p> architecture one of tonetaba is</p><p><b> begin</b></p><p> search:process(index)</p><p><b>
29、; begin</b></p><p> case index is </p><p> When "00001"=>tone<="01100000101";code<="00001";high<='0'; </p><p> When &
30、quot;00010"=>tone<="01110010000";code<="00010";high<='0'; </p><p> When "00011"=>tone<="10000001100";code<="00011";high
31、<='0'; </p><p> When "00100"=>tone<="10000110101";code<="00100";high<='0'; </p><p> When "00101"=>tone<="
32、;10010101101";code<="00101";high<='0'; </p><p> When "00110"=>tone<="10100001010";code<="00110";high<='0'; </p>&l
33、t;p> When "00111"=>tone<="10101011100";code<="00111";high<='0'; </p><p> When "01000"=>tone<="10110000010";code<="0
34、1000";high<='1'; </p><p> When "01001"=>tone<="10111001000";code<="01001";high<='1'; </p><p> When "01010"=>
35、;tone<="11000000110";code<="01010";high<='1'; </p><p> When "01011"=>tone<="11000101000";code<="01011";high<='1';
36、 </p><p> When "01100"=>tone<="11001010110";code<="01100";high<='1'; </p><p> When "01101"=>tone<="11010000100";c
37、ode<="01101";high<='1'; </p><p> When "01110"=>tone<="11010110101";code<="01110";high<='1'; </p><p> When "
38、01111"=>tone<="11011000000";code<="10001";high<='1'; </p><p> When "10000"=>tone<="11011101010";code<="10010";high<=
39、'1'; </p><p> When "10001"=>tone<="11100000111";code<="10011";high<='1'; </p><p> When "10010"=>tone<="1110
40、0010110";code<="10100";high<='1'; </p><p> When "10011"=>tone<="11100101111";code<="10101";high<='1'; </p><p&g
41、t; When "10100"=>tone<="11101000110";code<="10110";high<='1'; </p><p> When "10101"=>tone<="11101011010";code<="10111&
42、quot;;high<='1';</p><p> when others =>null;</p><p><b> end case;</b></p><p> end process;</p><p><b> end;</b></p><
43、p><b> (3)波形圖</b></p><p><b> ?。?)波形分析</b></p><p> 從波形圖可看出,當(dāng)輸入信號(hào)為00010,輸出為中音2,查預(yù)置初值,發(fā)現(xiàn)完全正確,因此該模塊功能正確。</p><p><b> 4.數(shù)控分頻器模塊</b></p><
44、;p> 音符的頻率由speakera模塊獲得,這是一個(gè)數(shù)控分頻器。由其CLK端輸入一具有較高頻率(這里是12HZ)的信號(hào),通過(guò)speakera分頻后由spkout輸出,由于直接從數(shù)控分頻器中出來(lái)的輸出信號(hào)是脈寬極窄的脈沖式信號(hào),為了有利于驅(qū)動(dòng)揚(yáng)聲器,需另加一個(gè)D觸發(fā)器以均衡其占空比,但這時(shí)的頻率將是原來(lái)的一半。Speakera對(duì)CLK輸入信號(hào)的分頻比由11位預(yù)置數(shù)tone決定。Spkout的輸出頻率將決定每一音符的音調(diào),這樣,分
45、頻計(jì)數(shù)器的預(yù)制值tone與spkout的輸出頻率,就有了對(duì)應(yīng)關(guān)系。例如在tonetaba模塊中若取tone=1036,將發(fā)音符為“3”音的信號(hào)頻率。</p><p><b> (1)模塊圖像</b></p><p><b> ?。?)程序:</b></p><p> library ieee;</p>&
46、lt;p> use ieee.std_logic_1164.all;</p><p> use ieee.std_logic_unsigned.all;</p><p> entity speakera is</p><p> port ( clk : in std_logic;</p><p> tone : in std_
47、logic_vector(10 downto 0);</p><p> spks : out std_logic);</p><p><b> end;</b></p><p> architecture one of speakera is</p><p> signal preclk, fullspks :
48、std_logic;</p><p><b> begin</b></p><p> divideclk : process(clk)</p><p> variable count4 : std_logic_vector (3 downto 0);</p><p><b> begin</b&g
49、t;</p><p> preclk <= '0';</p><p> if count4>11 then preclk <= '1';</p><p> count4 := "0000";</p><p> elsif clk'event and clk
50、= '1' then count4 :=count4+1;</p><p><b> end if;</b></p><p> end process;</p><p> genspks : process(preclk, tone)</p><p> variable count11 : std
51、_logic_vector(10 downto 0);</p><p><b> begin</b></p><p> if preclk'event and preclk = '1' then</p><p> if count11 =16#7ff# then count11 := tone ; </p&g
52、t;<p> fullspks <= '1';</p><p> else count11 := count11+1;</p><p> fullspks <= '0';</p><p><b> end if;</b></p><p><b>
53、 end if;</b></p><p> end process;</p><p> delayspks : process(fullspks)</p><p> variable count2 : std_logic;</p><p><b> begin</b></p><
54、p> if fullspks'event and fullspks = '1' then count2 := not count2;</p><p> if count2 ='1' then spks <='1';</p><p> else spks <='0';</p><
55、;p><b> end if;</b></p><p><b> end if;</b></p><p> end process;</p><p><b> end;</b></p><p><b> (3)波形圖</b></p&g
56、t;<p><b> (4)波形分析</b></p><p> 由波形圖分析,當(dāng)tone給出不同的分頻預(yù)置數(shù),觀察到分頻效果是正確的,因此該模塊的功能符合設(shè)計(jì)要求。</p><p> 5.樂(lè)曲ROM的三個(gè)模塊:</p><p> ?。?)《梁祝 》 </p>
57、<p> width = 5;</p><p> depth = 256;</p><p> address_radix = dec;</p><p> data_radix = dec;</p><p> content begin</p><p><b> 00: 3;</b&
58、gt;</p><p><b> 01: 3;</b></p><p><b> 02: 3;</b></p><p><b> 03: 3;</b></p><p><b> 04: 5;</b></p><p><
59、b> 05: 5;</b></p><p><b> 06: 5;</b></p><p><b> 07: 6;</b></p><p><b> 08: 8;</b></p><p><b> 09: 8;</b></
60、p><p><b> 10: 8;</b></p><p><b> 11: 9;</b></p><p><b> 12: 6;</b></p><p><b> 13: 8;</b></p><p><b> 1
61、4: 5;</b></p><p><b> 15: 5;</b></p><p><b> 16: 12;</b></p><p><b> 17: 12;</b></p><p><b> 18: 12;</b></p>
62、<p><b> 19: 15;</b></p><p><b> 20: 13;</b></p><p><b> 21: 12;</b></p><p><b> 22: 10;</b></p><p><b> 23
63、:12;</b></p><p><b> 24:9;</b></p><p><b> 25:9;</b></p><p><b> 26:9;</b></p><p><b> 27:9;</b></p><p&
64、gt;<b> 28:9;</b></p><p><b> 29:9;</b></p><p><b> 30:9;</b></p><p><b> 31:0;</b></p><p><b> 32:9;</b><
65、;/p><p><b> 33:9;</b></p><p><b> 34:9;</b></p><p><b> 35:10;</b></p><p><b> 36:7;</b></p><p><b> 37
66、:7;</b></p><p><b> 38:6;</b></p><p><b> 39:6;</b></p><p><b> 40:5;</b></p><p><b> 41:5;</b></p><p&g
67、t;<b> 42:5;</b></p><p><b> 43:6;</b></p><p><b> 44:8;</b></p><p><b> 45:8;</b></p><p><b> 46:9;</b><
68、/p><p><b> 47:9;</b></p><p><b> 48:3;</b></p><p><b> 49:3;</b></p><p><b> 50:8;</b></p><p><b> 51:8
69、;</b></p><p><b> 52:6;</b></p><p><b> 53:5;</b></p><p><b> 54:6;</b></p><p><b> 55:8;</b></p><p>
70、<b> 56:5;</b></p><p><b> 57:5;</b></p><p><b> 58:5;</b></p><p><b> 59:5;</b></p><p><b> 60:5;</b></p
71、><p><b> 61:5;</b></p><p><b> 62:5;</b></p><p><b> 63:5;</b></p><p><b> 64:10;</b></p><p><b> 65:10
72、;</b></p><p><b> 66:10;</b></p><p><b> 67:12;</b></p><p><b> 68:7;</b></p><p><b> 69:7;</b></p><p&g
73、t;<b> 70:9;</b></p><p><b> 71:9;</b></p><p><b> 72:6;</b></p><p><b> 73:8;</b></p><p><b> 74:5;</b><
74、/p><p><b> 75:5;</b></p><p><b> 76:5;</b></p><p><b> 77:5;</b></p><p><b> 78:5;</b></p><p><b> 79:5
75、;</b></p><p><b> 80:3;</b></p><p><b> 81:5;</b></p><p><b> 82:3;</b></p><p><b> 83:3;</b></p><p>
76、<b> 84:5;</b></p><p><b> 85:6;</b></p><p><b> 86:7;</b></p><p><b> 87:9;</b></p><p><b> 88:6;</b></p
77、><p><b> 89:6;</b></p><p><b> 90:6;</b></p><p><b> 91:6;</b></p><p><b> 92:6;</b></p><p><b> 93:6;&
78、lt;/b></p><p><b> 94:5;</b></p><p><b> 95:6;</b></p><p><b> 96:8;</b></p><p><b> 97:8;</b></p><p>&l
79、t;b> 98:8;</b></p><p><b> 99:9;</b></p><p><b> 100:12;</b></p><p><b> 101:12;</b></p><p><b> 102:12;</b>&l
80、t;/p><p><b> 103:10;</b></p><p><b> 104:9;</b></p><p><b> 105:9;</b></p><p><b> 106:10;</b></p><p><b&g
81、t; 107:9;</b></p><p><b> 108:8;</b></p><p><b> 109:8;</b></p><p><b> 110:6;</b></p><p><b> 111:5;</b></p&g
82、t;<p><b> 112:3;</b></p><p><b> 113:3;</b></p><p><b> 114:3;</b></p><p><b> 115:3;</b></p><p><b> 116:
83、8;</b></p><p><b> 117:8;</b></p><p><b> 118:8;</b></p><p><b> 119:8;</b></p><p><b> 120:6;</b></p><
84、p><b> 121:8;</b></p><p><b> 122:6;</b></p><p><b> 123:5;</b></p><p><b> 124:3;</b></p><p><b> 125:5;</b
85、></p><p><b> 126:6;</b></p><p><b> 127:8;</b></p><p><b> 128:5;</b></p><p><b> 129:5;</b></p><p><
86、;b> 130:5;</b></p><p><b> 131:5;</b></p><p><b> 132:5;</b></p><p><b> 133:5;</b></p><p><b> 134:5;</b><
87、/p><p><b> 135:5;</b></p><p><b> 136:0;</b></p><p><b> 137:0;</b></p><p><b> 138:0;</b></p><p><b>
88、end;</b></p><p><b> ?。?)《生日快樂(lè)》</b></p><p><b> WIDTH=5; </b></p><p> DEPTH=256;</p><p> ADDRESS_RADIX=DEC;</p><p> DATA_RA
89、DIX=DEC; </p><p> CONTENT BEGIN </p><p><b> 00:13; </b></p><p><b> 01:13; </b></p><p><b> 02:13; </b></p><p><
90、b> 03:13; </b></p><p><b> 04:13; </b></p><p><b> 05:13; </b></p><p><b> 06:13; </b></p><p><b> 07:12; </b&g
91、t;</p><p><b> 08:12; </b></p><p><b> 09:10; </b></p><p><b> 10:10; </b></p><p><b> 11:10; </b></p><p&
92、gt;<b> 12:10; </b></p><p><b> 13:12; </b></p><p><b> 14:12; </b></p><p><b> 15:12; </b></p><p><b> 16:12;
93、 </b></p><p><b> 17:15; </b></p><p><b> 18:15; </b></p><p><b> 19:15; </b></p><p><b> 20:15; </b></p&g
94、t;<p><b> 21:13; </b></p><p><b> 22:13; </b></p><p><b> 23:12; </b></p><p><b> 24:12; </b></p><p><b&g
95、t; 25:13; </b></p><p><b> 26:13; </b></p><p><b> 27:13; </b></p><p><b> 28:13; </b></p><p><b> 29:13; </b&g
96、t;</p><p><b> 30:13; </b></p><p><b> 31:13; </b></p><p><b> 32:13; </b></p><p><b> 33:10; </b></p><p&
97、gt;<b> 34:10; </b></p><p><b> 35:10; </b></p><p><b> 36:10; </b></p><p><b> 37:12; </b></p><p><b> 38:12;
98、 </b></p><p><b> 39:13; </b></p><p><b> 40:13; </b></p><p><b> 41:12; </b></p><p><b> 42:12; </b></p&
99、gt;<p><b> 43:12; </b></p><p><b> 44:12; </b></p><p><b> 45:10; </b></p><p><b> 46:10; </b></p><p><b&
100、gt; 47:10; </b></p><p><b> 48:10; </b></p><p><b> 49: 8; </b></p><p><b> 50: 8; </b></p><p><b> 51: 6; </b&
101、gt;</p><p><b> 52: 6; </b></p><p><b> 53:12; </b></p><p><b> 54:12; </b></p><p><b> 55:10; </b></p><p
102、><b> 56:10; </b></p><p><b> 57: 9; </b></p><p><b> 58: 9; </b></p><p><b> 59: 9; </b></p><p><b> 60: 9
103、; </b></p><p><b> 61: 9; </b></p><p><b> 62: 9; </b></p><p><b> 63: 9; </b></p><p><b> 64: 9; </b></p&
104、gt;<p><b> 65: 9; </b></p><p><b> 66: 9; </b></p><p><b> 67: 9; </b></p><p><b> 68: 9; </b></p><p><b&
105、gt; 69: 9; </b></p><p><b> 70: 9; </b></p><p><b> 71:10; </b></p><p><b> 72:10; </b></p><p><b> 73:12; </b&
106、gt;</p><p><b> 74:12; </b></p><p><b> 75:12; </b></p><p><b> 76:12; </b></p><p><b> 77:12; </b></p><p
107、><b> 78:12; </b></p><p><b> 79:13; </b></p><p><b> 80:13; </b></p><p><b> 81:10; </b></p><p><b> 82:10
108、; </b></p><p><b> 83:10; </b></p><p><b> 84:10; </b></p><p><b> 85: 9; </b></p><p><b> 86: 9; </b></p&
109、gt;<p><b> 87: 9; </b></p><p><b> 88: 9; </b></p><p><b> 89: 8; </b></p><p><b> 90: 8; </b></p><p><b&
110、gt; 91: 8; </b></p><p><b> 92: 8; </b></p><p><b> 93: 8; </b></p><p><b> 94: 8; </b></p><p><b> 95: 8; </b&g
111、t;</p><p><b> 96: 8; </b></p><p><b> 97:12; </b></p><p><b> 98:12; </b></p><p><b> 99:12; </b></p><p&g
112、t;<b> 100:12; </b></p><p><b> 101:12; </b></p><p><b> 102:12; </b></p><p><b> 103:10; </b></p><p><b> 104:10;
113、 </b></p><p><b> 105: 9; </b></p><p><b> 106: 9; </b></p><p><b> 107: 8; </b></p><p><b> 108: 8; </b></p>
114、;<p><b> 109: 6; </b></p><p><b> 110: 6; </b></p><p><b> 111: 8; </b></p><p><b> 112: 8; </b></p><p><b>
115、; 113: 5; </b></p><p><b> 114: 5; </b></p><p><b> 115: 5; </b></p><p><b> 116: 5; </b></p><p><b> 117: 5; </b>
116、;</p><p><b> 118: 5; </b></p><p><b> 119: 5; </b></p><p><b> 120: 5; </b></p><p><b> 121: 5; </b></p><p&g
117、t;<b> 122: 5; </b></p><p><b> 123: 5; </b></p><p><b> 124: 5; </b></p><p><b> 125: 0; </b></p><p><b> 126: 0;
118、 </b></p><p><b> 127: 0;</b></p><p><b> 128: 0;</b></p><p><b> 129: 0;</b></p><p><b> 130: 0;</b></p>&
119、lt;p><b> 131: 0;</b></p><p><b> 131: 0;</b></p><p><b> 132: 0;</b></p><p><b> 133: 0;</b></p><p><b> 134:
120、0;</b></p><p><b> 135: 0;</b></p><p><b> 136: 0;</b></p><p><b> 137: 0;</b></p><p><b> 138: 0; </b></p>
121、<p><b> END;</b></p><p> ?。?)《隱形的翅膀》</p><p><b> WIDTH=5; </b></p><p> DEPTH=256; </p><p> ADDRESS_RADIX=DEC; </p><p> D
122、ATA_RADIX=DEC; </p><p><b> CONTENT </b></p><p><b> BEGIN </b></p><p><b> 00: 10; </b></p><p><b> 01: 10; </b></
123、p><p><b> 02: 12; </b></p><p><b> 03:12; </b></p><p><b> 04:15; </b></p><p><b> 05:15; </b></p><p><
124、;b> 06:15; </b></p><p><b> 07:15; </b></p><p><b> 08:15; </b></p><p><b> 09:15; </b></p><p><b> 10:15; <
125、/b></p><p><b> 11:15; </b></p><p><b> 12:15; </b></p><p><b> 13:15; </b></p><p><b> 14:15; </b></p>&l
126、t;p><b> 15:15; </b></p><p><b> 16:13; </b></p><p><b> 17:13; </b></p><p><b> 18:12; </b></p><p><b> 19
127、:12; </b></p><p><b> 20:13; </b></p><p><b> 21:13; </b></p><p><b> 22:15; </b></p><p><b> 23:15; </b><
128、;/p><p><b> 24:10; </b></p><p><b> 25:10; </b></p><p><b> 26: 9; </b></p><p><b> 27: 9; </b></p><p>&l
129、t;b> 28: 8; </b></p><p><b> 29: 8; </b></p><p><b> 30: 8; </b></p><p><b> 31: 8; </b></p><p><b> 32: 8; <
130、;/b></p><p><b> 33: 8; </b></p><p><b> 34: 8; </b></p><p><b> 35:8; </b></p><p><b> 36: 8; </b></p>&l
131、t;p><b> 37: 8; </b></p><p><b> 38:15; </b></p><p><b> 39:15; </b></p><p><b> 40:15; </b></p><p><b> 4
132、1:15; </b></p><p><b> 42:13; </b></p><p><b> 43:13; </b></p><p><b> 44:12; </b></p><p><b> 45:12; </b><
133、;/p><p><b> 46:10; </b></p><p><b> 47:10; </b></p><p><b> 48:9; </b></p><p><b> 49:9; </b></p><p><
134、b> 50: 8; </b></p><p><b> 51: 9; </b></p><p><b> 52: 9; </b></p><p><b> 53: 9; </b></p><p><b> 54: 9; </
135、b></p><p><b> 55: 9; </b></p><p><b> 56: 9; </b></p><p><b> 57: 9; </b></p><p><b> 58: 9; </b></p><
136、;p><b> 59: 9; </b></p><p><b> 60: 10; </b></p><p><b> 61:10; </b></p><p><b> 62:12; </b></p><p><b> 63
137、:12; </b></p><p><b> 64:15; </b></p><p><b> 65:15; </b></p><p><b> 66:15; </b></p><p><b> 67:15; </b><
138、/p><p><b> 68:15; </b></p><p><b> 69:15; </b></p><p><b> 70:15; </b></p><p><b> 71:15; </b></p><p><
139、;b> 72:15; </b></p><p><b> 73:15; </b></p><p><b> 74:15; </b></p><p><b> 75:15; </b></p><p><b> 76:13; <
140、/b></p><p><b> 77:13; </b></p><p><b> 78:12; </b></p><p><b> 79:12; </b></p><p><b> 80:13; </b></p>&l
141、t;p><b> 81:13; </b></p><p><b> 82:15; </b></p><p><b> 83:15; </b></p><p><b> 84:10; </b></p><p><b> 85
142、:10; </b></p><p><b> 86:9; </b></p><p><b> 87:9; </b></p><p><b> 88: 8; </b></p><p><b> 89: 8; </b></p
143、><p><b> 90: 8; </b></p><p><b> 91: 8; </b></p><p><b> 92: 8; </b></p><p><b> 93: 8; </b></p><p><b
144、> 94: 8; </b></p><p><b> 95: 8; </b></p><p><b> 96: 8; </b></p><p><b> 97: 8; </b></p><p><b> 98:15; </b
145、></p><p><b> 99:15; </b></p><p><b> 100:15; </b></p><p><b> 101:15; </b></p><p><b> 102:13; </b></p><p
146、><b> 103:13; </b></p><p><b> 104:12; </b></p><p><b> 105:12; </b></p><p><b> 106:10; </b></p><p><b> 107:1
147、0; </b></p><p><b> 108: 9; </b></p><p><b> 109: 9; </b></p><p><b> 110: 8; </b></p><p><b> 111: 8; </b></p&
148、gt;<p><b> 112: 8; </b></p><p><b> 113: 8; </b></p><p><b> 114: 8; </b></p><p><b> 115: 8; </b></p><p><b&
149、gt; 116: 8; </b></p><p><b> 117: 8; </b></p><p><b> 118: 8; </b></p><p><b> 119: 8; </b></p><p><b> 120: 10; </b
150、></p><p><b> 121:10; </b></p><p><b> 122:12; </b></p><p><b> 123:12; </b></p><p><b> 124:15; </b></p><
151、p><b> 125:15; </b></p><p><b> 126:15; </b></p><p><b> 127:15; </b></p><p><b> 128:15; </b></p><p><b> 129:
152、15; </b></p><p><b> 130:15; </b></p><p><b> 131:15; </b></p><p><b> 132:14; </b></p><p><b> 133:14; </b></p
153、><p><b> 134:14; </b></p><p><b> 135:14; </b></p><p><b> 136:13; </b></p><p><b> 137:13; </b></p><p><b
154、> 138:12; </b></p><p><b> end;</b></p><p> 6. 頂層文件的設(shè)計(jì):</p><p> library ieee;</p><p> use ieee.std_logic_1164.all;</p><p> use
155、ieee.std_logic_unsigned.all;</p><p> entity yinyuehe is</p><p> port (clk12MHZ:in std_logic;</p><p> clk8HZ:in std_logic;</p><p> code1:out std_logic_vector (4 down
156、to 0);</p><p> high1:out std_logic;</p><p> a1:in std_logic_vector(3 downto 0);</p><p> b1:OUT std_logic_vector(3 downto 0);</p><p> spkout:out std_logic);</p>
157、;<p><b> end;</b></p><p> architecture one of yinyuehe is</p><p> component notetabs is</p><p> port (clk:in std_logic;</p><p> counter:inout st
158、d_logic_vector(7 downto 0));</p><p> end component;</p><p> component yinxingdechiban IS</p><p><b> PORT</b></p><p><b> (</b></p><
159、;p> address: IN STD_LOGIC_VECTOR (7 DOWNTO 0);</p><p> clock: IN STD_LOGIC ;</p><p> q: OUT STD_LOGIC_VECTOR (4 DOWNTO 0) );</p><p> END component;</p><p>
160、; component shengrikuaile IS</p><p><b> PORT</b></p><p><b> (</b></p><p> address: IN STD_LOGIC_VECTOR (7 DOWNTO 0);</p><p> clock: IN
溫馨提示
- 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
- 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
- 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
- 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
- 5. 眾賞文庫(kù)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
- 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
- 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。
最新文檔
- eda課程設(shè)計(jì)---樂(lè)曲硬件演奏電路
- eda課程設(shè)計(jì)--樂(lè)曲硬件演奏電路設(shè)計(jì)
- eda課程設(shè)計(jì)---樂(lè)曲硬件演奏電路的vhdl設(shè)計(jì)
- 樂(lè)曲硬件演奏電路的vhdl設(shè)計(jì) eda課程設(shè)計(jì)
- eda課程設(shè)計(jì)報(bào)告--樂(lè)曲發(fā)生器
- 樂(lè)曲演奏課程設(shè)計(jì)報(bào)告---樂(lè)曲演奏電路的設(shè)計(jì)
- eda_電子琴課程設(shè)計(jì)--樂(lè)曲演奏電路的設(shè)計(jì)
- eda課程設(shè)計(jì)—音樂(lè)播放器
- eda秒表電路課程設(shè)計(jì)
- eda課程設(shè)計(jì)報(bào)告--按鍵游戲電路設(shè)計(jì)
- eda樂(lè)曲硬件演奏電路設(shè)計(jì)
- eda課程設(shè)計(jì)--eda課程設(shè)計(jì)實(shí)驗(yàn)報(bào)告
- eda樂(lè)曲硬件演奏電路設(shè)計(jì)
- eda課程設(shè)計(jì)報(bào)告
- eda課程設(shè)計(jì)報(bào)告
- eda流水燈電路課程設(shè)計(jì)
- eda課程設(shè)計(jì)報(bào)告
- eda課程設(shè)計(jì)報(bào)告
- 基于eda樂(lè)曲硬件演奏電路
- vhdl課程設(shè)計(jì)---樂(lè)曲演奏電路設(shè)計(jì)
評(píng)論
0/150
提交評(píng)論