版權說明:本文檔由用戶提供并上傳,收益歸屬內容提供方,若內容存在侵權,請進行舉報或認領
文檔簡介
1、<p><b> 數(shù)字時鐘</b></p><p><b> 班級:</b></p><p><b> 學號:</b></p><p><b> 姓名: </b></p><p><b> 指導老師: </b>&l
2、t;/p><p> 提交日期:2011年1月8日</p><p><b> 系統(tǒng)簡介:</b></p><p> 使用VHDL語言編寫程序完成數(shù)字時鐘的功能設計,利用軟件進行編譯和仿真,最后利用實驗箱實現(xiàn)系統(tǒng)。</p><p><b> 功能簡介:</b></p><p>
3、; 完成時鐘的計時、調整,整點報時等基礎功能,完成鬧鐘的設置、開啟和關閉功能,整點報時的開啟和關閉功能,完成日期設定和顯示功能。</p><p><b> 總體結構邏輯框圖:</b></p><p><b> 狀態(tài)圖:</b></p><p> 各模塊電路圖及程序:</p><p><
4、b> 整體電路連接圖:</b></p><p><b> 總控制模塊:</b></p><p><b> 時鐘脈沖分頻元件:</b></p><p> library ieee;</p><p> use ieee.std_logic_1164.all;</p>
5、;<p> use ieee.std_logic_unsigned.all;</p><p> entity dc4_1clkdmux is</p><p><b> port(</b></p><p> clk:in std_logic;</p><p> clk1,clk4,clk512:ou
6、t std_logic);</p><p> end dc4_1clkdmux;</p><p> architecture clkdmux of dc4_1clkdmux is</p><p> signal c1:std_logic_vector(9 downto 0);</p><p><b> begin</b
7、></p><p> process (clk)</p><p><b> begin</b></p><p> if clk'event and clk='1'then</p><p><b> c1<=c1+1;</b></p><
8、p><b> end if;</b></p><p> clk1<=c1(9);clk4<=c1(7);</p><p> clk512<=c1(0);</p><p> end process;</p><p> end clkdmux;</p><p><
9、;b> 模式控制元件:</b></p><p> library ieee;</p><p> use ieee.std_logic_1164.all;</p><p> use ieee.std_logic_unsigned.all;</p><p> entity dc4_2mode is</p>
10、<p><b> port(</b></p><p> clk4,bt_m:in std_logic;</p><p> mode:out std_logic_vector(1 downto 0);</p><p> cr1,cr2:out std_logic);</p><p> end dc4_
11、2mode;</p><p> architecture mode of dc4_2mode is</p><p> signal modx:std_logic_vector(1 downto 0);</p><p> signal c1,c2:std_logic;</p><p><b> begin</b>&
12、lt;/p><p> process (clk4,bt_m)</p><p><b> begin</b></p><p> if clk4'event and clk4='1' then</p><p> if bt_m='1' then </p><p&
13、gt; modx<=modx+1;c2<='1';</p><p> else c2<='0';</p><p><b> end if;</b></p><p><b> end if;</b></p><p> if modx=&quo
14、t;00" then c1<='1';</p><p> else c1<='0';</p><p><b> end if;</b></p><p> mode<=modx;</p><p> cr1<=c1;cr2<=c2;</p&
15、gt;<p> end process;</p><p><b> end mode;</b></p><p><b> 調節(jié)項目控制元件:</b></p><p> library ieee;</p><p> use ieee.std_logic_1164.all;<
16、;/p><p> use ieee.std_logic_unsigned.all;</p><p> entity dc4_2mode is</p><p><b> port(</b></p><p> clk4,bt_m:in std_logic;</p><p> mode:out s
17、td_logic_vector(1 downto 0);</p><p> cr1,cr2:out std_logic);</p><p> end dc4_2mode;</p><p> architecture mode of dc4_2mode is</p><p> signal modx:std_logic_vector(1
18、 downto 0);</p><p> signal c1,c2:std_logic;</p><p><b> begin</b></p><p> process (clk4,bt_m)</p><p><b> begin</b></p><p> if c
19、lk4'event and clk4='1' then</p><p> if bt_m='1' then </p><p> modx<=modx+1;c2<='1';</p><p> else c2<='0';</p><p><b&g
20、t; end if;</b></p><p><b> end if;</b></p><p> if modx="00" then c1<='1';</p><p> else c1<='0';</p><p><b> e
21、nd if;</b></p><p> mode<=modx;</p><p> cr1<=c1;cr2<=c2;</p><p> end process;</p><p><b> end mode;</b></p><p><b> 數(shù)碼管顯
22、示元件:</b></p><p> library ieee;</p><p> use ieee.std_logic_1164.all;</p><p> use ieee.std_logic_unsigned.all;</p><p> entity dc4_4sel is</p><p>&l
23、t;b> port(</b></p><p> clk:in std_logic;</p><p> sel:out std_logic_vector(2 downto 0));</p><p> end dc4_4sel;</p><p> architecture sel of dc4_4sel is</
24、p><p> signal selx:std_logic_vector(2 downto 0);</p><p><b> begin</b></p><p> process (clk)</p><p><b> begin</b></p><p> if clk
25、39;event and clk='1'then</p><p> selx<=selx+1;</p><p><b> end if;</b></p><p> end process;</p><p> sel<=selx;</p><p><b>
26、; end sel;</b></p><p><b> 模塊波形圖:</b></p><p><b> 時鐘模塊:</b></p><p><b> 時鐘秒調節(jié)元件:</b></p><p> library ieee;</p><p&
27、gt; use ieee.std_logic_1164.all;</p><p> use ieee.std_logic_unsigned.all;</p><p> entity dc3_1second is</p><p><b> port(</b></p><p> clk1,clk4,rest,bt_
28、a:in std_logic;</p><p> mode,set:in std_logic_vector(1 downto 0);</p><p> sco,mcen:out std_logic;</p><p> clks0,clks1:out std_logic_vector(3 downto 0));</p><p> end
29、 dc3_1second;</p><p> architecture second of dc3_1second is</p><p> signal s0,s1:std_logic_vector(3 downto 0);</p><p> signal co,rco,cr:std_logic;</p><p><b>
30、begin</b></p><p> process (clk1,clk4,rest,bt_a,mode,set)</p><p><b> begin</b></p><p> if clk4'event and clk4='1'then</p><p> if mode=&
31、quot;01" then</p><p> if rest='1' then</p><p><b> cr<='1';</b></p><p> elsif set="00" and bt_a='1'then</p><p>&
32、lt;b> cr<='1';</b></p><p> else cr<='0';</p><p><b> end if;</b></p><p><b> end if;</b></p><p><b> end
33、if;</b></p><p> if cr='1'then</p><p> s0<="0000";s1<="0000";</p><p> elsif clk1'event and clk1='1' then</p><p>
34、if s0="1001" and s1="0101" then</p><p> s0<="0000";s1<="0000";co<='1';</p><p> elsif s0="1001" then</p><p> s0&
35、lt;="0000";s1<=s1+1;co<='0';</p><p> else s0<=s0+1;co<='0';</p><p><b> end if;</b></p><p><b> end if;</b></p>
36、<p> if mode="01" and set="01" and bt_a='1' then</p><p> rco<=clk4;mcen<='0';</p><p> else rco<=co;mcen<='1';</p><p>
37、;<b> end if;</b></p><p> end process;</p><p> clks0<=s0;clks1<=s1;sco<=rco;</p><p> end second;</p><p><b> 時鐘分調節(jié)元件:</b></p>
38、<p> library ieee;</p><p> use ieee.std_logic_1164.all;</p><p> use ieee.std_logic_unsigned.all;</p><p> entity dc3_1minite is</p><p><b> port(</b&g
39、t;</p><p> sco,mcen,clk4,rest,bt_a:in std_logic;</p><p> mode,set:in std_logic_vector(1 downto 0);</p><p> mco,hcen:out std_logic;</p><p> clkm0,clkm1:out std_logic
40、_vector(3 downto 0));</p><p> end dc3_1minite;</p><p> architecture minite of dc3_1minite is</p><p> signal m0,m1:std_logic_vector(3 downto 0);</p><p> signal rco,c
41、o,cr:std_logic;</p><p><b> begin</b></p><p> process (sco,mcen,clk4,rest,bt_a,mode,set)</p><p><b> begin</b></p><p> if mode="01"
42、and rest='1' then</p><p><b> cr<=rest;</b></p><p> else cr<='0';</p><p><b> end if;</b></p><p> if cr='1' then
43、</p><p> m0<="0000";m1<="0000";</p><p> elsif sco'event and sco='1' then</p><p> if m0="1001" and m1="0101"then</p>
44、;<p> m0<="0000";m1<="0000";</p><p> if mcen='1' then co<='1';</p><p> else co<='0';</p><p><b> end if;</
45、b></p><p> elsif m0="1001" then</p><p> m0<="0000";m1<=m1+1;co<='0';</p><p><b> else</b></p><p> m0<=m0+1;co&
46、lt;='0';</p><p><b> end if;</b></p><p><b> end if;</b></p><p> if mode="01" and set="10" and bt_a='1' then</p>
47、<p> rco<=clk4;hcen<='0';</p><p> else rco<=co;hcen<='1';</p><p><b> end if;</b></p><p> end process;</p><p> clkm0<
48、;=m0;clkm1<=m1;mco<=rco;</p><p> end minite;</p><p><b> 時鐘小時調節(jié)元件:</b></p><p> library ieee;</p><p> use ieee.std_logic_1164.all;</p><p&
49、gt; use ieee.std_logic_unsigned.all;</p><p> entity dc3_1hour is</p><p><b> port(</b></p><p> mco,hcen,clk4,rest,bt_a:in std_logic;</p><p> mode,set:in
50、 std_logic_vector(1 downto 0);</p><p> hco,dcen:out std_logic;</p><p> clkh0,clkh1:out std_logic_vector(3 downto 0));</p><p> end dc3_1hour;</p><p> architecture ho
51、ur of dc3_1hour is</p><p> signal h0,h1:std_logic_vector(3 downto 0);</p><p> signal rco,co,cr:std_logic;</p><p><b> begin</b></p><p> process (mco,hcen
52、,clk4,rest,bt_a,mode,set)</p><p><b> begin</b></p><p> if mode="01" and rest='1' then</p><p><b> cr<=rest;</b></p><p>
53、else cr<='0';</p><p><b> end if;</b></p><p> if cr='1' then</p><p> h0<="0000";h1<="0000";</p><p> elsif mc
54、o'event and mco='1' then</p><p> if h0="1001" and h1="0101"then</p><p> h0<="0000";h1<="0000";</p><p> if hcen='1
55、9; then co<='1';</p><p> else co<='0';</p><p><b> end if;</b></p><p> elsif h0="1001" then</p><p> h0<="0000&quo
56、t;;h1<=h1+1;co<='0';</p><p><b> else</b></p><p> h0<=h0+1;co<='0';</p><p><b> end if;</b></p><p><b> end i
57、f;</b></p><p> if mode="11" and set="00" and bt_a='1' then</p><p> rco<=clk4;dcen<='0';</p><p> else rco<=co;dcen<='1
58、9;;</p><p><b> end if;</b></p><p> end process;</p><p> clkh0<=h0;clkh1<=h1;hco<=rco;</p><p><b> end hour;</b></p><p>
59、<b> 時鐘模塊波形圖:</b></p><p><b> 鬧鐘模塊:</b></p><p><b> 鬧鐘分調節(jié)元件:</b></p><p> library ieee;</p><p> use ieee.std_logic_1164.all;</p&
60、gt;<p> use ieee.std_logic_unsigned.all;</p><p> entity dc3_2alminite is</p><p><b> port(</b></p><p> clk4,bt_a:in std_logic;</p><p> mode,set:i
61、n std_logic_vector(1 downto 0);</p><p> alm0,alm1:out std_logic_vector(3 downto 0));</p><p> end dc3_2alminite;</p><p> architecture alarm of dc3_2alminite is</p><p>
62、; signal m0,m1:std_logic_vector(3 downto 0);</p><p> signal co:std_logic;</p><p><b> begin</b></p><p> process (clk4,bt_a,mode,set)</p><p><b> be
63、gin</b></p><p> if clk4'event and clk4='1'then</p><p> if mode="10"and set="00" and bt_a='1'then</p><p> if m0="1001"and m
64、1="0101"then</p><p> m0<="0000";m1<="0000";</p><p> elsif m0="1001" then</p><p> m0<="0000";m1<=m1+1;</p><
65、;p><b> else</b></p><p><b> m0<=m0+1;</b></p><p><b> end if;</b></p><p><b> end if;</b></p><p><b> end i
66、f;</b></p><p> end process;</p><p> alm0<=m0;alm1<=m1;</p><p> end alarm;</p><p><b> 鬧鐘小時調節(jié)元件:</b></p><p> library ieee;</p
67、><p> use ieee.std_logic_1164.all;</p><p> use ieee.std_logic_unsigned.all;</p><p> entity dc3_2alhour is</p><p><b> port(</b></p><p> clk4,b
68、t_a:in std_logic;</p><p> mode,set:in std_logic_vector(1 downto 0);</p><p> alh0,alh1:out std_logic_vector(3 downto 0));</p><p> end dc3_2alhour;</p><p> architectu
69、re alhour of dc3_2alhour is</p><p> signal h0,h1:std_logic_vector(3 downto 0);</p><p><b> begin</b></p><p> process (clk4,bt_a,mode,set)</p><p><b>
70、 begin</b></p><p> if clk4'event and clk4='1'then</p><p> if mode="10"and set="01" and bt_a='1'then</p><p> if h0="0010"a
71、nd h1="0100"then</p><p> h0<="0000";h1<="0000";</p><p> elsif h0="1001" then</p><p> h0<="0000";h1<=h1+1;</p>
72、<p><b> else</b></p><p><b> h0<=h0+1;</b></p><p><b> end if;</b></p><p><b> end if;</b></p><p><b> e
73、nd if;</b></p><p> end process;</p><p> alh0<=h0;alh1<=h1;</p><p> end alhour;</p><p> 鬧鐘與時鐘比較控制模塊:</p><p> library ieee;</p><p
74、> use ieee.std_logic_1164.all;</p><p> use ieee.std_logic_unsigned.all;</p><p> entity dc3_2alcontrol is</p><p><b> port(</b></p><p> clk,clk1,clk51
75、2:in std_logic;</p><p> alc:in std_logic_vector(1 downto 0);</p><p> clks0,clks1,clkm0,clkm1,clkh0,clkh1,alm0,alm1,alh0,alh1:in std_logic_vector(3 downto 0);</p><p> alarm:out st
76、d_logic);</p><p> end dc3_2alcontrol;</p><p> architecture alcontrol of dc3_2alcontrol is</p><p> signal al,al0,al1:std_logic;</p><p><b> begin</b></
77、p><p> process (clk,clk1,clk512,clks0,clks1,clkm0,clkm1,clkh0,clkh1,alm0,alm1,alh0,alh1,alc)</p><p><b> begin</b></p><p> if clkm0="1001" and clkm1="0101&
78、quot; and clks1="0101"then</p><p> if clks0="0001"then al<=clk512;</p><p> elsif clks0="0011"then al<=clk512;</p><p> elsif clks0="0101&q
79、uot;then al<=clk512;</p><p> elsif clks0="0111"then al<=clk512;</p><p> elsif clks0="1001"then al<=clk;</p><p> else al<='0';</p>&
80、lt;p><b> end if;</b></p><p> else al<='0';</p><p><b> end if;</b></p><p> if clkm0=alm0 and clkm1=alm1 and clkh0=alh0 and clkh1=alh1 then&l
81、t;/p><p> if clks1="0000" and clk1='1'then</p><p><b> al0<=clk;</b></p><p> else al0<='0';</p><p><b> end if;</b&g
82、t;</p><p> else al0<='0';</p><p><b> end if;</b></p><p> if alc="00" then</p><p> al1<=(al or al0);</p><p> elsif
83、alc="01" then</p><p><b> al1<=al;</b></p><p> elsif alc="10" then</p><p><b> al1<=al0;</b></p><p> elsif alc="
84、;11" then</p><p><b> al1<='0';</b></p><p><b> end if;</b></p><p> end process;</p><p> alarm<=al1;</p><p>
85、end alcontrol;</p><p> 鬧鐘與時鐘比較控制模塊波形圖:</p><p><b> 日期模塊</b></p><p><b> 日期日調整元件:</b></p><p> library ieee;</p><p> use ieee.std_
86、logic_1164.all;</p><p> use ieee.std_logic_unsigned.all;</p><p> entity dc3_3day is</p><p><b> port(</b></p><p> hco,dcen,clk4,bt_a,sm1,sm2:in std_logic
87、;</p><p> mode,set:in std_logic_vector(1 downto 0);</p><p> dco:out std_logic;</p><p> day0,day1:out std_logic_vector(3 downto 0));</p><p> end dc3_3day;</p>
88、<p> architecture day of dc3_3day is</p><p> signal d0,d1:std_logic_vector(3 downto 0);</p><p> signal rco,co:std_logic;</p><p><b> begin</b></p><p&
89、gt; process (hco,dcen,clk4,bt_a,mode,set)</p><p><b> begin</b></p><p> if hco'event and hco='1' then</p><p> if sm1='1' then</p><p>
90、 if d0="1000" and d1="0010"then</p><p> d0<="0000";d1<="0000";</p><p> if dcen='1' then co<='1';</p><p> else co
91、<='0';</p><p><b> end if;</b></p><p> elsif d0="1001" then</p><p> d0<="0000";d1<=d1+1;co<='0';</p><p>&l
92、t;b> else</b></p><p> d0<=d0+1;co<='0';</p><p><b> end if;</b></p><p> elsif sm2='1' then</p><p> if d0="0000"
93、 and d1="0011"then</p><p> d0<="0000";d1<="0000";</p><p> if dcen='1' then co<='1';</p><p> else co<='0';</p&
94、gt;<p><b> end if;</b></p><p> elsif d0="1001" then</p><p> d0<="0000";d1<=d1+1;co<='0';</p><p><b> else</b>&
95、lt;/p><p> d0<=d0+1;co<='0';</p><p><b> end if;</b></p><p><b> else </b></p><p> if d0="0001" and d1="0011"th
96、en</p><p> d0<="0000";d1<="0000";</p><p> if dcen='1' then co<='1';</p><p> else co<='0';</p><p><b> e
97、nd if;</b></p><p> elsif d0="1001" then</p><p> d0<="0000";d1<=d1+1;co<='0';</p><p><b> else</b></p><p> d0&l
98、t;=d0+1;co<='0';</p><p><b> end if;</b></p><p><b> end if;</b></p><p><b> end if;</b></p><p> if mode="11"
99、and set="01" and bt_a='1' then</p><p> rco<=clk4;</p><p> else rco<=co;</p><p><b> end if;</b></p><p> end process;</p>&
100、lt;p> day0<=d0;day1<=d1;dco<=rco;</p><p><b> end day;</b></p><p><b> 日期月調整元件:</b></p><p> library ieee;</p><p> use ieee.std_lo
101、gic_1164.all;</p><p> use ieee.std_logic_unsigned.all;</p><p> entity dc3_3month is</p><p><b> port(</b></p><p> dco:in std_logic;</p><p>
102、 sm1,sm2:out std_logic;</p><p> mon0,mon1:out std_logic_vector(3 downto 0));</p><p> end dc3_3month;</p><p> architecture month of dc3_3month is</p><p> signal m0,m
103、1:std_logic_vector(3 downto 0);</p><p> signal month:std_logic_vector(7 downto 0);</p><p><b> begin</b></p><p> process (dco)</p><p><b> begin<
104、/b></p><p> if dco'event and dco='1' then </p><p> if m0="0010" and m1="0001" then</p><p> m0<="0000";m1<="0000";<
105、/p><p> elsif m0="1001" then</p><p> m0<="0000";m1<=m1+1;</p><p> else m0<=m0+1;</p><p><b> end if;</b></p><p>&l
106、t;b> end if;</b></p><p> month<=m1&m0;</p><p> if month="00000010" then</p><p> sm1<='1';sm2<='0';</p><p> elsif mo
107、nth="00000100" or month="00000110" or month="00001001" or month="00010001" then</p><p> sm1<='0';sm2<='1';</p><p> else sm1<=
108、39;0';sm2<='0';</p><p><b> end if;</b></p><p> end process;</p><p> mon0<=m0;mon1<=m1;</p><p> end month;</p><p> 四(與
109、附加四信號)選一選擇器:</p><p> library ieee;</p><p> use ieee.std_logic_1164.all;</p><p> entity dc2_2mux18 is</p><p><b> port(</b></p><p> m0,m1,h0
110、,h1:in std_logic_vector(3 downto 0);</p><p> sel:in std_logic_vector(2 downto 0);</p><p> y:out std_logic_vector(3 downto 0));</p><p> end dc2_2mux18;</p><p> archi
111、tecture mux18 of dc2_2mux18 is</p><p><b> begin</b></p><p> process (m0,m1,h0,h1,sel)</p><p><b> begin</b></p><p> case sel is</p>&l
112、t;p> when "000"=>y<=m0;</p><p> when "001"=>y<=m1;</p><p> when "010"=>y<="1111";</p><p> when "011"=>y
113、<=h0;</p><p> when "100"=>y<=h1;</p><p> when others=>y<="1111";</p><p><b> end case;</b></p><p> end process;</p&
114、gt;<p> end mux18;</p><p><b> 日期模塊波形圖:</b></p><p><b> 顯示驅動模塊</b></p><p> 數(shù)碼管動態(tài)顯示選擇元件:</p><p> library ieee;</p><p> us
115、e ieee.std_logic_1164.all;</p><p> entity dc1_1choice is</p><p><b> port(</b></p><p> clk1:in std_logic;</p><p> set:in std_logic_vector(1 downto 0);<
116、;/p><p> sel:in std_logic_vector(2 downto 0);</p><p> g:out std_logic_vector(7 downto 0));</p><p> end dc1_1choice;</p><p> architecture drive of dc1_1choice is</p&
117、gt;<p><b> begin</b></p><p> process (set,sel)</p><p><b> begin</b></p><p> if set="00" and sel="000" and clk1='1'then
118、</p><p> g<="00000000";</p><p> elsif set="00" and sel="001" and clk1='1'then</p><p> g<="00000000";</p><p> e
119、lsif set="01" and sel="011" and clk1='1'then</p><p> g<="00000000";</p><p> elsif set="01" and sel="100" and clk1='1'then&l
120、t;/p><p> g<="00000000";</p><p> elsif set="10" and sel="110" and clk1='1'then</p><p> g<="00000000";</p><p> els
121、if set="10" and sel="111" and clk1='1'then</p><p> g<="00000000";</p><p><b> else</b></p><p> case sel is</p><p>
122、; when"000"=>g<="00000001";</p><p> when"001"=>g<="00000010";</p><p> when"010"=>g<="00000100";</p><p&
123、gt; when"011"=>g<="00001000";</p><p> when"100"=>g<="00010000";</p><p> when"101"=>g<="00100000";</p><
124、p> when"110"=>g<="01000000";</p><p> when others=>g<="10000000";</p><p><b> end case;</b></p><p><b> end if;</b
125、></p><p> end process;</p><p> end drive;</p><p> 三選一多路數(shù)據(jù)選擇器:</p><p> library ieee;</p><p> use ieee.std_logic_1164.all;</p><p> enti
126、ty dc1_2mux13 is</p><p><b> port(</b></p><p> clock,date,alarm:in std_logic_vector(3 downto 0);</p><p> mode:in std_logic_vector(1 downto 0);</p><p> y:
127、out std_logic_vector(3 downto 0));</p><p> end dc1_2mux13;</p><p> architecture mux13 of dc1_2mux13 is</p><p><b> begin</b></p><p> process (clock,date,
128、alarm,mode)</p><p><b> begin</b></p><p> case mode is</p><p> when "00"=>y<=clock;</p><p> when "01"=>y<=clock;</p>
129、;<p> when "10"=>y<=alarm;</p><p> when "11"=>y<=date;</p><p> when others=>y<=clock;</p><p><b> end case;</b></p>
130、<p> end process;</p><p> end mux13;</p><p><b> 七段譯碼器:</b></p><p> library ieee;</p><p> use ieee.std_logic_1164.all;</p><p> enti
131、ty dc1_3display is</p><p><b> port(</b></p><p> x:in std_logic_vector(3 downto 0);</p><p> segout:out std_logic_vector(6 downto 0));</p><p> end dc1_3di
132、splay;</p><p> architecture disay of dc1_3display is</p><p><b> begin</b></p><p> process (x)</p><p><b> begin </b></p><p><
133、b> case x is</b></p><p> when "0000"=>segout<="1111110";</p><p> when "0001"=>segout<="0110000";</p><p> when "
134、0010"=>segout<="1101101";</p><p> when "0011"=>segout<="1111001";</p><p> when "0100"=>segout<="0110011";</p>&l
135、t;p> when "0101"=>segout<="1011011";</p><p> when "0110"=>segout<="1011111";</p><p> when "0111"=>segout<="1110000&
136、quot;;</p><p> when "1000"=>segout<="1111111";</p><p> when "1001"=>segout<="1111011";</p><p> when "1010"=>segou
137、t<="1001001";</p><p> when "1011"=>segout<="1000001";</p><p> when "1100"=>segout<="0001001";</p><p> when others
138、=>segout<="0000001";</p><p><b> end case;</b></p><p> end process;</p><p> end disay;</p><p><b> 心得體會</b></p><p&g
溫馨提示
- 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
- 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權益歸上傳用戶所有。
- 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內容里面會有圖紙預覽,若沒有圖紙預覽就沒有圖紙。
- 4. 未經(jīng)權益所有人同意不得將文件中的內容挪作商業(yè)或盈利用途。
- 5. 眾賞文庫僅提供信息存儲空間,僅對用戶上傳內容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內容本身不做任何修改或編輯,并不能對任何下載內容負責。
- 6. 下載文件中如有侵權或不適當內容,請與我們聯(lián)系,我們立即糾正。
- 7. 本站不保證下載資源的準確性、安全性和完整性, 同時也不承擔用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。
最新文檔
- 數(shù)字時鐘(數(shù)字邏輯)課程設計報告
- 數(shù)字邏輯課程設計---數(shù)字時鐘
- 江蘇大學數(shù)字邏輯課程設計數(shù)字時鐘
- 數(shù)字時鐘課程設計報告
- 數(shù)字時鐘課程設計報告
- 數(shù)字時鐘課程設計報告
- 數(shù)字時鐘課程設計報告
- 數(shù)字時鐘課程設計報告
- 數(shù)字鐘課程設計--數(shù)字時鐘設計報告
- 數(shù)字鐘課程設計--數(shù)字時鐘設計報告
- 電子數(shù)字時鐘課程設計報告
- 數(shù)字電子課程設計--數(shù)字時鐘
- 數(shù)字時鐘課程設計
- 數(shù)字時鐘課程設計
- 數(shù)字邏輯課程設計報告---數(shù)字鐘
- 課程設計--數(shù)字時鐘
- 數(shù)字時鐘課程設計
- 數(shù)字時鐘課程設計
- 數(shù)字時鐘課程設計
- 數(shù)字時鐘課程設計
評論
0/150
提交評論