畢業(yè)論文--基于fpga的dvb系統(tǒng)信道編碼的研究與實現(xiàn)_第1頁
已閱讀1頁,還剩35頁未讀, 繼續(xù)免費閱讀

下載本文檔

版權說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權,請進行舉報或認領

文檔簡介

1、<p>  ANYANG INSTITUTE OF TECHNOLOGY</p><p>  本 科 畢 業(yè) 論 文</p><p>  基于FPGA的DVB系統(tǒng)信道編碼的研究與設計</p><p>  THE ALGORITHM RESEARCH AND IMPLEMENTATION ON FPGA OF CHANNEL CODING O

2、F DVB</p><p>  院(部)名稱: 電子信息與電氣工程學院 </p><p>  專業(yè)班級: 通信工程2011級專升本2班 </p><p>  學生姓名: 姜薇 </p><p>  學 號: 201102080098

3、 </p><p>  指導教師姓名: 楊麗飛 </p><p>  指導教師職稱: 講 師 </p><p>  2013年 5 月</p><p>  畢業(yè)設計(論文)原創(chuàng)性聲明和使用授權說明</p><p

4、><b>  原創(chuàng)性聲明</b></p><p>  本人鄭重承諾:所呈交的畢業(yè)設計(論文),是我個人在指導教師的指導下進行的研究工作及取得的成果。盡我所知,除文中特別加以標注和致謝的地方外,不包含其他人或組織已經(jīng)發(fā)表或公布過的研究成果,也不包含我為獲得安陽工學院及其它教育機構的學位或?qū)W歷而使用過的材料。對本研究提供過幫助和做出過貢獻的個人或集體,均已在文中作了明確的說明并表示了謝意。

5、</p><p>  作 者 簽 名:        日  期:      </p><p>  指導教師簽名:        日  期:       </p><p><b>  使用授權說明</b></p><p>  本人完全了解安陽工學院關于收集、保存、使用畢業(yè)設計(論文)的規(guī)

6、定,即:按照學校要求提交畢業(yè)設計(論文)的印刷本和電子版本;學校有權保存畢業(yè)設計(論文)的印刷本和電子版,并提供目錄檢索與閱覽服務;學校可以采用影印、縮印、數(shù)字化或其它復制手段保存論文;在不以贏利為目的前提下,學校可以公布論文的部分或全部內(nèi)容。</p><p>  作者簽名:        日  期:     </p><p><b>  摘要<

7、;/b></p><p>  隨著市場需求的增長,集成工藝水平及計算機自動設計技術的不斷提高,市場對電子產(chǎn)品提出了更高的要求。其中FPGA器件以其設計靈活、設計周期短、設計效率高、工作速度快、成本低等優(yōu)點廣泛應用于數(shù)字集成電路的設計中。因此在數(shù)字頻帶傳輸技術中可使用FPGA來實現(xiàn)DPSK的調(diào)制與解調(diào)系統(tǒng)的設計。本文基于DPSK載波傳輸系統(tǒng)的調(diào)制基本原理,在QUARTUSII軟件上首先用VHDL語言實現(xiàn)了絕對

8、碼轉(zhuǎn)相對碼、CPSK調(diào)制及相對碼轉(zhuǎn)絕對碼這幾個子模塊的設計與仿真。并基于DDS技術產(chǎn)生的載波作為輸入將絕對碼轉(zhuǎn)相對碼和CPSK調(diào)制子模塊聯(lián)調(diào)后實現(xiàn)了DPSK調(diào)制系統(tǒng)。</p><p>  本設計主要實現(xiàn)基于FPGA的DPSK載波傳輸?shù)臄?shù)字通信系統(tǒng)。與模擬通信系統(tǒng)相比,數(shù)字調(diào)制和解調(diào)同樣是通過某種方式,將基帶信號的頻譜由一個頻率位置搬移到另一個頻率位置上去。不同的是,數(shù)字調(diào)制的基帶信號不是模擬信號而是數(shù)字信號。在大

9、多數(shù)情況下,數(shù)字調(diào)制是利用數(shù)字信號的離散值實現(xiàn)鍵控載波,對載波的幅度,頻率或相位分別進行鍵控,便可獲得ASK、FSK、PSK等。這三種數(shù)字調(diào)制方式在誤碼率,要求信噪比和抗噪聲性能等方面,以PSK性能最佳,因而,PSK在中、高速傳輸數(shù)據(jù)時得到廣泛應用。</p><p>  關鍵字:FPGA;調(diào)制;解調(diào)系統(tǒng);仿真設計</p><p><b>  Abstract</b>&

10、lt;/p><p>  Along with market demand growth, integrated technological level and the automatic computer design and technology unceasing enhancement, the market for electronic products put forward higher request.

11、 Among them with the FPGA device designed to be flexible, design cycle is short, the design efficiency high, speed, low cost advantages are widely used in digital integrated circuit design. So in digital frequency transm

12、ission technology can be used to realize the FPGA DPSK modulation and demodulation</p><p>  The design of the main realization based on FPGA DPSK carrier transmission of digital communication system. Compare

13、d with simulation of communication system, digital modulation and demodulation is also in some way, will the baseband signal by a frequency spectrum position moved to another frequency positions. The difference is, digit

14、al modulation of baseband signal is not the analog signal but the digital signal. In most cases, digital modulation is using digital signal of discrete values realiz</p><p>  Key word: FPGA; A; Demodulation

15、system; The simulation design</p><p><b>  目 錄</b></p><p><b>  摘要1</b></p><p>  Abstract2</p><p><b>  1.緒論4</b></p><p

16、>  1.1研究背景4</p><p>  1.2研究目的及意義5</p><p>  1.3國內(nèi)外研究現(xiàn)狀5</p><p>  1.4研究進展情況及研究方向7</p><p>  2.PSK調(diào)制原理10</p><p>  2.1數(shù)字調(diào)制介紹10</p><p>

17、;  2.2二進制相移鍵控(PSK)的調(diào)制11</p><p>  3.差分相移鍵控(DPSK)調(diào)制原理13</p><p>  3.1差分相移鍵控原理13</p><p>  3.2絕對碼—相對碼(差分編碼)14</p><p>  4.3. 差分相移鍵控(DPSK)解調(diào)原理14</p><p>

18、  4.1極性比較法(相干解調(diào))14</p><p>  4.2相位比較法15</p><p>  5.DPSK調(diào)制解調(diào)系統(tǒng)的設計16</p><p>  5.1DPSK的總體設計16</p><p>  5.2CPSK調(diào)制電路的VHDL建模與程序設計17</p><p>  4.2.1 CPSK調(diào)

19、制的VHDL建模17</p><p>  4.2.2 程序及仿真結果分析20</p><p>  5.3CPSK解調(diào)電路的VHDL建模與程序設計20</p><p>  4.3.2 CPSK解調(diào)的VHDL程序及仿真結果分析21</p><p>  5.4DPSK調(diào)制電路的VHDL建模與程序設計22</p><

20、p>  4.4.1 DPSK調(diào)制電路方框圖模型22</p><p>  4.4.2 絕對碼轉(zhuǎn)換為相對碼的VHDL程序及仿真結果分析23</p><p>  5.5DPSK解調(diào)電路的VHDL建模與程序設計23</p><p>  4.5.1 DPSK解調(diào)電路的設計23</p><p>  4.5.2 相對碼到絕對碼的轉(zhuǎn)換程序及仿

21、真波形分析24</p><p><b>  總結26</b></p><p><b>  參考文獻27</b></p><p><b>  致謝28</b></p><p>  附錄:代碼清單29</p><p><b>  緒論&l

22、t;/b></p><p><b>  研究背景</b></p><p>  近年來,我國移動通信業(yè)務迅猛發(fā)展,已深入到社會生活的各個方面。面對移動用戶群的持續(xù)增長和新業(yè)務的層出不窮,移動通信體系要及時適應甚至超前于市場需求的步伐。另外如今移動通信已成為通信領域中最具活力、最具發(fā)展前途的一種通信方式,未來通信的更高要求成為其演進的原動力,與之相關的各類通信技術也

23、成為人們研究的熱點,值得我們密切關注和學習。而作為移動通信的核心技術之一的調(diào)制解調(diào)技術是實現(xiàn)高速高效的通信系統(tǒng)的重要保證。</p><p>  1934年美國學者李佛西提出脈沖編碼調(diào)制(PCM)的概念,從此之后通信數(shù)字化的時代應該說已經(jīng)開始了,但是數(shù)字通信的高速發(fā)展卻是20世紀70年代以后才開始的。隨著時代的發(fā)展,用戶不再滿足于聽到聲音,而且還要看到圖像;通信終端也不局限于單一的電話機,而且還有傳真機和計算機等數(shù)

24、據(jù)終端?,F(xiàn)有的傳輸媒介電纜、微波中繼和衛(wèi)星通信等將更多地采用數(shù)字傳輸。數(shù)字信號的載波調(diào)制是信道編碼的一部分,之所以在信源編碼和傳輸通道之間插入信道編碼是因為通道及相應的設備對所要傳輸?shù)臄?shù)字信號有一定的限制,未經(jīng)處理的數(shù)字信號源不能適應這些限制。由于傳輸信道的頻帶資源總是有限的,因此在充分得利用現(xiàn)有資源的前提下,提高傳輸效率就是通信系統(tǒng)所追求的最重要指標之一。模擬通信很難控制傳輸效率,最常見到的單邊帶調(diào)幅(SSB)或殘留邊帶調(diào)幅(VSB)

25、可以節(jié)省近一半的傳輸頻帶。由于數(shù)字信號只有“0”和“1”兩種狀態(tài),所以數(shù)字調(diào)制完全可以理解為像報務員用開關鍵控制載波的過程,因此數(shù)字信號的調(diào)制方式一般均為較簡單的鍵控方式?,F(xiàn)代通信系統(tǒng)的發(fā)展隨著VHDL等設計語言的出現(xiàn)和ASIC的應用進入了一個新的階段。由于大多數(shù)信號都是帶通型的,所以必</p><p><b>  研究目的及意義</b></p><p>  這個課題

26、是基于FGPA設計并制作一個2ASK調(diào)制解調(diào)器,實現(xiàn)數(shù)字信號對載波的調(diào)制和解調(diào)。通過這個課題理解掌握課題涉及的相關內(nèi)容,熟練使用相關開發(fā)工具軟件Quartus II,熟悉數(shù)字信號載波調(diào)制解調(diào)的基本方式。</p><p>  調(diào)制的目的是把要傳輸?shù)哪M信號或數(shù)字信號變換成適合信道傳輸?shù)男盘?,這就意味著把基帶信號(信源)轉(zhuǎn)變?yōu)橐粋€相對基帶頻率而言頻率非常高的代通信號。該信號稱為已調(diào)信號,而基帶信號稱為調(diào)制信號。調(diào)制可

27、以通過使高頻載波隨信號幅度的變化而改變載波的幅度、相位或者頻率來實現(xiàn)。調(diào)制過程用于通信系統(tǒng)的發(fā)端。在接收端需將已調(diào)信號還原成要傳輸?shù)脑夹盘?,也就是將基帶信號從載波中提取出來以便預定的接受者(信宿)處理和理解的過程。該過程稱為解調(diào)。</p><p>  現(xiàn)代通信系統(tǒng)是一個十分復雜的工程系統(tǒng),通信系統(tǒng)設計研究也是一項十分復雜的技術。由于技術的復雜性,在現(xiàn)代通信技術中,越來越重視采用計算機仿真技術來進行系統(tǒng)分析和設計

28、。隨著電子信息技術的發(fā)展,已經(jīng)從仿真研究和設計輔助工具,發(fā)展成為今天的軟件無線電技術,這就使通信系統(tǒng)的仿真研究具有更重要和更實用的意義。而其中數(shù)字信號的調(diào)制和解調(diào)已成為重中之重。</p><p><b>  國內(nèi)外研究現(xiàn)狀</b></p><p>  當今社會通信信號調(diào)制識別成為研究熱點之一,國內(nèi)外都有相關方面的研究,并且取得很好的結果。近十幾年來,隨著計算機,人工智

29、能,模式識別和信號處理等技術的飛速發(fā)展.通信信號的自動調(diào)制識別技術得到長足地發(fā)展[1]。數(shù)字調(diào)制傳輸在現(xiàn)代通信中發(fā)揮著越來越重要的作用, 2PSK及2DPSK是數(shù)字調(diào)制傳輸?shù)膬煞N常用方式,PSK是由載波相位來表示信號占和空或者二進制1和O。對于有線線路上較高的數(shù)據(jù)傳輸速率,可能發(fā)生4個或8個不同的相移,系統(tǒng)要求在接收機上有精確和穩(wěn)定的參考相位來分辨所使用的各種相位。利用不同的連續(xù)的相移鍵控,這個參考相位被按照相位改變而進行的編碼數(shù)據(jù)所取

30、代,并且通過將相位與前面的位進行比較來檢測。而DPSK是通過相位的改變,來傳送信息。</p><p>  隨著社會的不斷數(shù)字化,數(shù)字集成電路得到廣泛應用。數(shù)字集成電路本身在不斷地進行更新?lián)Q代[2]。它由早期的電子管、晶體管、小中規(guī)模集成電路、發(fā)展到超大規(guī)模集成電路(VLSIC,幾萬門以上)以及許多具有特定功能的專用集成電路。但是,隨著微電子技術的發(fā)展,設計與制造集成電路的任務已不完全由半導體廠商來獨立承擔。系統(tǒng)設

31、計師們更愿意自己設計專用集成電路(ASIC)芯片,而且希望ASIC的設計周期盡可能短,最好是在實驗室里就能設計出合適的ASIC芯片,并且立即投入實際應用之中,因而出現(xiàn)了現(xiàn)場可編程邏輯器件(FPLD),其中應用最廣泛的當屬現(xiàn)場可編程門陣列(FPGA)和復雜可編程邏輯器件(CPLD) [3]。</p><p>  硬件描述語言HDL是一種用形式化方法描述數(shù)字電路和系統(tǒng)的語言。利用這種語言,數(shù)字電路系統(tǒng)的設計可以從上層

32、到下層(從抽象到具體)逐層描述自己的設計思想,用一系列分層次的模塊來表示極其復雜的數(shù)字系統(tǒng)[4]。然后,利用電子設計自動化(EDA)工具,逐層進行仿真驗證,再把其中需要變?yōu)閷嶋H電路的模塊組合,經(jīng)過自動綜合工具轉(zhuǎn)換到門級電路網(wǎng)表。接下去,再用專用集成電路ASIC或現(xiàn)場可編程門陣列FPGA自動布局布線工具,把網(wǎng)表轉(zhuǎn)換為要實現(xiàn)的具體電路布線結構[5]。</p><p>  目前,這種高層次(high-level-des

33、ign)的方法已被廣泛采用。據(jù)統(tǒng)計,目前在美國硅谷約有90%以上的ASIC和FPGA采用硬件描述語言進行設計[6]。</p><p>  硬件描述語言HDL的發(fā)展至今已有20多年的歷史,并成功地應用于設計的各個階段:建模、仿真、驗證和綜合等。到20世紀80年代,已出現(xiàn)了上百種硬件描述語言,對設計自動化曾起到了極大的促進和推動作用[7]。但是,這些語言一般各自面向特定的設計領域和層次,而且眾多的語言使用戶無所適從。

34、因此,急需一種面向設計的多領域、多層次并得到普遍認同的標準硬件描述語言。20世紀80年代后期,VHDL和Verilog HDL語言適應了這種趨勢的要求,先后成為IEEE標準。</p><p>  現(xiàn)在,隨著系統(tǒng)級FPGA以及系統(tǒng)芯片的出現(xiàn),軟硬件協(xié)調(diào)設計和系統(tǒng)設計變得越來越重要。傳統(tǒng)意義上的硬件設計越來越傾向于與系統(tǒng)設計和軟件設計結合。硬件描述語言為適應新的情況,迅速發(fā)展,出現(xiàn)了很多新的硬件描述語言,像Super

35、log、SystemC、Cynlib C++等等[8]。究竟選擇哪種語言進行設計,整個業(yè)界正在進行激烈的討論。因此,完全有必要在這方面作一些比較研究,為EDA設計做一些有意義的工作,也為發(fā)展我們未來的芯片設計技術打好基礎。</p><p>  研究進展情況及研究方向</p><p>  早期的可編程邏輯器件只有可編程只讀存貯器(PROM)、紫外線可按除只讀存貯器(EPROM)和電可擦除只讀

36、存貯器(EEPROM)三種。由于結構的限制,它們只能完成簡單的數(shù)字邏輯功能[9]。 </p><p>  其后,出現(xiàn)了一類結構上稍復雜的可編程芯片,即可編程邏輯器件(PLD),它能夠完成各種數(shù)字邏輯功能[10]。典型的PLD由一個“與”門和一個“或”門陣列組成,而任意一個組合邏輯都可以用“與一或”表達式來描述,所以, PLD能以乘積和的形式完成大量的組合邏輯功能。</p><p>  這一

37、階段的產(chǎn)品主要有PAL(可編程陣列邏輯)和GAL(通用陣列邏輯) [11]。 PAL由一個可編程的“與”平面和一個固定的“或”平面構成,或門的輸.出可以通過觸發(fā)器有選擇地被置為寄存狀態(tài)。 PAL器件是現(xiàn)場可編程的,它的實現(xiàn)工藝有反熔絲技術、EPROM技術和EEPROM技術[12]。還有一類結構更為靈活的邏輯器件是可編程邏輯陣列(PLA),它也由一個“與”平面和一個“或”平面構成,但是這兩個平面的連接關系是可編程的。 PLA器件既有現(xiàn)場可

38、編程的,也有掩膜可編程的[13]。  在PAL的基礎上,又發(fā)展了一種通用陣列邏輯GAL (Generic Array Logic),如GAL16V8,GAL22V10 等。它采用了EEPROM工藝,實現(xiàn)了電可按除、電可改寫,其輸出結構是可編程的邏輯宏單元,因而它的設計具有很強的靈活性,至今仍有許多人使用。 這些早期的PLD器件的一個共同特點是可以實現(xiàn)速度特性較好的邏輯功能,但其過于簡單的結構也使它們只能實現(xiàn)規(guī)模較小的電路[14

39、]。 </p><p>  為了彌補這一缺陷,20世紀80年代中期。 Altera和Xilinx分別推出了類似于PAL結構的擴展型 CPLD(Complex Programmab1e Logic Dvice)和與標準門陣列類似的FPGA(Field Programmable Gate Array),它們都具有體系結構和邏輯單元靈活、集成度高以及適用范圍寬等特點。 這兩種器件兼容了PLD和通用門陣列的優(yōu)點,可實現(xiàn)較

40、大規(guī)模的電路,編程也很靈活。與門陣列等其它ASIC(Application Specific IC)相比,它們又具有設計開發(fā)周期短、設計制造成本低、開發(fā)工具先進、標準產(chǎn)品無需測試、質(zhì)量穩(wěn)定以及可實時在線檢驗等優(yōu)點,因此被廣泛應用于產(chǎn)品的原型設計和產(chǎn)品生產(chǎn)(一般在10,000件以下)之中。幾乎所有應用門陣列、PLD和中小規(guī)模通用數(shù)字集成電路的場合均可應用FPGA和CPLD器件[15]。</p><p>  PLD

41、在近20 年的時間里已經(jīng)得到了巨大的發(fā)展,在未來的發(fā)展中,將呈現(xiàn)以下幾個方面的趨勢:</p><p>  (1) 向大規(guī)模、高集成度方向進一步發(fā)展</p><p>  當前,PLD 的規(guī)模已經(jīng)達到了百萬門級,在工藝上,芯片的最小線寬達到了 0.13μm,并且還會向著大規(guī)模、高集成度方向進一步發(fā)展。</p><p> ?。?) 向低電壓、低功耗的方向發(fā)展</p&

42、gt;<p>  PLD 的內(nèi)核電壓在不斷的降低,經(jīng)歷 5 V →3.3 V →2.5 V →1.8 V 的演變,未來將會更低。工作電壓的降低使得芯片的功耗也大大減少,這樣就適應了一些低功耗場合的應用,比如移動通信設備、個人數(shù)字助理等。</p><p>  (3) 向高速可預測延時方向發(fā)展</p><p>  由于在一些高速處理的系統(tǒng)中,數(shù)據(jù)處理量的激增要求數(shù)字系統(tǒng)有大的數(shù)據(jù)

43、吞吐速率,比如對圖像信號的處理,這樣就對 PLD 的速度指標提出了更高的要求;另外,為了保證高速系統(tǒng)的穩(wěn)定性,延時也是十分重要的。用戶在進行系統(tǒng)重構的同時,擔心的是延時特性會不會因重新布線的改變而改變,如果改變,將會導致系統(tǒng)性能的不穩(wěn)定性,這對龐大而高速的系統(tǒng)而言將是不可想象的,帶來的損失也是巨大的。因此,為了適應未來復雜高速電子系統(tǒng)的要求,PLD 的高速可預測延時也是一個發(fā)展趨勢。</p><p> ?。? )

44、向數(shù)摸混合可編程方向發(fā)展</p><p>  迄今為止,PLD 的開發(fā)與應用的大部分工作都集中在數(shù)字邏輯電路上,在未來幾年里,這一局面將會有所改變,模擬電路和數(shù)摸混合電路的可編程技術得到發(fā)展。目前的技術 ISPPAC 可實現(xiàn) 3 種功能:信號調(diào)整、信號處理和信號轉(zhuǎn)換。信號調(diào)整主要是對信號進行放大、衰減和濾波;信號處理是對信號進行求和、求差和積分運算;信號轉(zhuǎn)換則是指把數(shù)字信號轉(zhuǎn)換成模擬信號。EPAC 芯片集中了各種

45、模擬功能電路,如可編程增益放大器、可編程比較器、多路復用器、可編程 A/D 轉(zhuǎn)換器、濾波器和跟蹤保持放大器等。</p><p> ?。?) 向多功能、嵌入式模塊方向發(fā)展</p><p>  現(xiàn)在,PLD 內(nèi)已經(jīng)廣泛嵌入 RAM/ ROM ,FIFO 等存儲器模塊,這些嵌入式模塊可以實現(xiàn)更快的無延時的運算與操作。特別是美國 Altrea 公司于2000 年對可編程片上系統(tǒng) (System O

46、n Programmable Chip ,SOPC) 的提出,使得以 FPGA 為物理載體、在單一的 FPGA 中實現(xiàn)包括嵌入式處理器系統(tǒng)、接口系統(tǒng)、硬件協(xié)處理器或加速器系統(tǒng)、DSP 系統(tǒng)、數(shù)字通信系統(tǒng)、存儲電路以及普通數(shù)字系統(tǒng)更是成為目前電子技術中的研究熱點。</p><p>  微電子設計工業(yè)的設計線寬已經(jīng)從0.25μm向 0.18μm變遷,而且正在向0.13μm和90nm的目標努力邁進。到0.13μm這個目

47、標后,90%的信號延遲將由線路互連所產(chǎn)生。為了設計工作頻率近2GHz的高性能電路,就必須解決感應、電遷移和襯底噪聲問題(同時還有設計復雜度問題)。</p><p>  未來幾年的設計中所面臨的挑戰(zhàn)有哪些?標準組織怎樣去面對?當設計線寬降到0.13μm,甚至更小時,將會出現(xiàn)四個主要的趨勢:</p><p><b>  ◇ 設計再利用;</b></p>&l

48、t;p>  ◇ 設計驗證(包括硬件和軟件);</p><p>  ◇ 互連問題將決定對時間、電源及噪聲要求;</p><p>  ◇ 系統(tǒng)級芯片設計要求。</p><p>  滿足未來設計者需要的設計環(huán)境將是多家供應商提供解決方案的模式,因為涉及的問題面太廣且太復雜,沒有哪個公司或?qū)嶓w可以獨立解決。實際上,人們完全有理由認為,對下一代設計問題解決方案的貢獻,基

49、礎研究活動與獨立產(chǎn)業(yè)的作用將同等重要[16]。</p><p><b>  PSK調(diào)制原理</b></p><p><b>  數(shù)字調(diào)制介紹</b></p><p>  數(shù)字調(diào)制的概念:用二進制(多進制)數(shù)字信號作為調(diào)制信號,去控制載波某些參量的變化,這種把基帶數(shù)字信號變換成頻帶數(shù)字信號的過程稱為數(shù)字調(diào)制,反之,稱為數(shù)字解

50、調(diào)。數(shù)字調(diào)制的分類:</p><p> ?。?)線性調(diào)制方式:線性調(diào)制方式主要有各種進制的PSK和QAM等。線性調(diào)制方式又可分為頻譜高效和功率高效兩種。在線性數(shù)字調(diào)制技術中,傳輸信號的幅度s(t)隨調(diào)制數(shù)字信號m(t)的變化而呈線性變化。 線性數(shù)字調(diào)制技術帶寬效率較高,所以非常適用于在有窄頻帶要求下,需要容納越來越多用戶的無線通信系統(tǒng)。在線性數(shù)字調(diào)制方案中,傳輸信號s(t)可表示為:</p><

51、;p>  線性數(shù)字調(diào)制方案有很好的頻譜效率,但傳輸中必須使用功率效率低的RF放大器。</p><p> ?。?)恒定包絡調(diào)制方式:恒定包絡調(diào)制方式主要有MSK、TFM(平滑調(diào)頻)、GMSK等。其主要特點是這種已調(diào)信號具有包絡幅度不變的特性,其發(fā)射功率放大器可以在非線性狀態(tài)而不引起嚴重的頻譜擴散。</p><p> ?。?)數(shù)字調(diào)制系統(tǒng)的基本結構 </p><p&g

52、t;  圖1-1 數(shù)字調(diào)制系統(tǒng)的基本結構</p><p> ?。?)數(shù)字調(diào)制的性能指標</p><p>  數(shù)字調(diào)制的性能指標通常通過功率有效性p(Power Efficiency)和帶寬有效性B(Spectral Efficiency)來反映。功率有效性p是反映調(diào)制技術在低功率電平情況下保證系統(tǒng)誤碼性能的能力,可表述成每比特的信號能量與噪聲功率譜密度之比:</p><

53、;p>  二進制相移鍵控(PSK)的調(diào)制</p><p> ?。?)PSK信號的產(chǎn)生</p><p>  圖1-2 PSK信號的產(chǎn)生方式以及波形示例</p><p>  一個二進制的PSK信號可視為一個雙極性脈沖序列s(t)與一個載波 的乘積,即:</p><p><b>  也可以寫成:</b>&l

54、t;/p><p>  數(shù)字調(diào)相波可以用矢量圖表示其相位變化的規(guī)則,根據(jù)CCITT規(guī)定,存在A、B兩種表示相位變化的矢量圖,如下圖所示。圖中的虛線表示參考矢量,它代表未調(diào)制載波的相位。</p><p>  圖1-3 二相移相信號矢量圖</p><p>  (2)PSK信號的功率譜特性:2PSK信號的功率譜密度采用與求2ASK信號功率譜密度相同的方法。PSK信號的功率譜密度

55、為:</p><p>  式中, 為基帶信號s(t)的功率譜密度。</p><p>  當0、1等概出現(xiàn)時,雙極性基帶信號功率譜密度為:</p><p>  則2PSK信號的功率譜密度為:</p><p>  圖1-4 PSK信號功率譜密度</p><p>  圖1-5 雙極性基帶功率譜密度</p&g

56、t;<p>  PSK信號譜,形狀為 ,以 為中心的DSB譜</p><p>  PSK信號傳輸帶寬 (取主瓣寬度)</p><p>  差分相移鍵控(DPSK)調(diào)制原理</p><p><b>  差分相移鍵控原理</b></p><p>  差分相移鍵控

57、(Differential Phase Shift Keying,DPSK)是一種最常用的相對調(diào)相方式,采用非相干的相移鍵控形式。它不需要在接收機端有相干參考信號,而且非相干接收機容易實現(xiàn),價格便宜,因此在無線通信系統(tǒng)中廣泛使用。</p><p>  差分相移鍵控(DPSK)是利用相鄰二個碼元的載波信號初始相位的相對變化來表示所傳輸?shù)拇a元。所謂相位變化,又有向量差和相位差兩種定義方法。向量差是指前一碼元的終相位與

58、本碼元初相位比較,是否發(fā)生了相位的變化,而相位差是值前后兩碼元的初相位是否發(fā)生了變化。按向量差和相位差畫出的DPSK波形是不同的。但是絕對移相波形規(guī)律比較簡單,而相對移相波形規(guī)律比較復雜。當有加性高斯白噪聲時,平均錯誤概率如下所示為:</p><p>  2DPSK同樣存在A、B方式矢量圖,圖中虛線表示的參考矢量代表前一個碼元已調(diào)載波的相位。B方式下,每個碼元的載波相位相對于參考相位可取 ,所以其相鄰碼元

59、之間必然發(fā)生載波相位的跳變,接收端可以據(jù)此確定每個碼元的起止時刻(即提供碼元定時信息),而A方式卻可能存在前后碼元載波相位連續(xù)。</p><p>  圖2-1 2DPSK同樣存在A、B方式矢量圖</p><p>  絕對碼—相對碼(差分編碼)</p><p>  絕對碼和相對碼之間的關系為:</p><p>  若定義Δφ為2DPSK方式下本

60、碼元初相與前一碼元初相之差,并設Δφ=π相→“1”、 Δφ=0相→“0”,為了比較,設2PSK方式下φ=π相→“0”、 φ=0相→“1”,則數(shù)字信息序列與2PSK、2DPSK信號的碼元相位關系如表所示。</p><p>  表2-1 2PSK、2DPSK信號的碼元相位關系</p><p>  圖2-2 絕對碼相對碼相位比較</p><p>  3. 差分相移鍵控

61、(DPSK)解調(diào)原理</p><p>  極性比較法(相干解調(diào))</p><p> ?。?)輸入DPSK信號經(jīng)過帶通濾波器后,加到乘法器,乘法器將輸入信號與載波極性進行比較。極性比較電路符合絕對移相定義(因絕對移相信號的相位是相對于載波而言的),經(jīng)低通和判決電路后,還原的是相對碼。要得到原基帶信號,還必須經(jīng)過相對碼-絕對碼變換器。不難看出,極性比較原理是將DPSK信號與參考載波進行相位比較

62、,恢復出相對碼,然后進行查分譯碼,由相對碼還原成絕對碼,得到原絕對碼基帶信號。</p><p>  (2)DPSK解調(diào)器由三部分組成,乘法器和載波提取電路實際上就是相干檢測器。后面的相對碼(差分碼)-絕對碼變化電路,即相對碼(差分碼)譯碼器,其余部分完成低通判決任務。</p><p>  當輸入為“1”碼時,Ucpsk(t)=Uask(t)=Acos(2Πfct),因此CPSK解調(diào)的情況完

63、全與ASK解調(diào)相同,此時低通輸出:X(t)=a+nc(t)</p><p>  當輸入為“0”碼時,Ucpsk(t) =Acos(2Πfct+Π)=- Acos(2Πfct),此時與ASK情況不同。由于Acos(2Πfct)= - Acos(2Πfct),則x(t)=-A+nc(t)。</p><p><b>  圖3-1 相干解調(diào)</b></p>&l

64、t;p><b>  相位比較法</b></p><p>  DPSK相位比較法解調(diào)器原理如下圖。其基本原理是將接收到的前后碼元所對應以前以碼元的載波相位作為后一碼元的參考相位。所以稱為相位比較法或者是稱為差分相位檢測法。該電路與極性比較法不同之處在于乘法器中與信號相乘的不是載波,而是前一碼元的信號,該信號相位隨機且有噪聲,它的性能低于極性比較法的性能。輸入的UDPSK信號一路直接加到乘

65、法器,另一路經(jīng)過延遲線延遲一個碼元的時間Tb后,加到乘法器作為相干載波。若不考慮噪聲的影響,設前一碼元載波的相位為ψ1,后以碼元載波的相位為ψ2,則乘法器的輸出為:</p><p>  cos(ωct+ω1)·cos(ωct+ψ2)=1/2[cos(ψ1+ψ2)+cos(2ωct+ψ1+ψ2)]</p><p>  經(jīng)過低通濾波器濾出高頻項,輸出為:</p><

66、;p>  U0(t)=1/2cos(ψ1-ψ2)=1/2cosΔψ</p><p>  式中,Δψ=ψ1-ψ2,是前后碼元對應的載波相位差。由調(diào)相關系可知,Δψ=0時,發(fā)送“0”;Δψ=π時,發(fā)送“1”,則取樣判決器的判決規(guī)則是:U0(t)>0,判決為“0”;U0(t)<0,判決為“1”??芍苯咏庹{(diào)出原絕對碼基帶信號。然而,相位比較法電路是將本碼元信號與前一碼元信號相位比較,它適合與按相位差定義

67、的DPSK信號的解調(diào),對碼元寬度為非整數(shù)倍的載頻周期的按向量差定義的DPSK信號,該電路不起作用。</p><p>  圖3-2 相位比較法</p><p>  DPSK調(diào)制解調(diào)系統(tǒng)的設計</p><p><b>  DPSK的總體設計</b></p><p>  數(shù)字化、信息化的時代,數(shù)字集成電路應用得非常廣泛。隨著

68、微電子技術和工藝的發(fā)展,數(shù)字集成電路從電子管、晶體管、中小規(guī)模集成電路、超大規(guī)模集成電路(VLSIC)逐步發(fā)展到今天的專用集成電路(ASIC)。但是ASIC因其設計周期長,改版投資大,靈活性差等缺陷制約著它的應用范圍。可編程邏輯器件的出現(xiàn)彌補了ASIC的缺陷,使得設計的系統(tǒng)變得更加靈活,設計的電路體積更加小型化,重量更加輕型化,設計的成本更低,系統(tǒng)的功耗也更小了。FPGA是英文Field Programmable Gate Array的

69、縮寫,即現(xiàn)場可編程門陣列,它是在PAL、GAL、EPLD等可編程器件的基礎上進一步發(fā)展的產(chǎn)物。它是作為專用集成電路(ASIC)領域中的一種半定制電路而出現(xiàn)的,既解決了定制電路的不足,又克服了原有可編程器件門電路數(shù)有限的缺點。整個信號處理過程全部采用VHDL硬件描述語言來設計,并用Quartus II仿真系統(tǒng)功能對程序進行調(diào)試,分析仿真結果,以滿足系統(tǒng)設計的要求。FPGA芯片結合了專用集成電路和DSP的優(yōu)勢,既具有很高的處理速度,又具有一

70、定的靈活性。FPGA中既減少了大量硬件連線,又降低了干擾,系統(tǒng)實現(xiàn)</p><p>  圖4-1 FPGA實現(xiàn)的總體框架</p><p>  CPSK調(diào)制電路的VHDL建模與程序設計</p><p>  4.2.1 CPSK調(diào)制的VHDL建模</p><p>  CPSK調(diào)制方框圖如圖4-2所示。CPSK調(diào)制器模型主要由計數(shù)器和二選一開關等

71、組成。計數(shù)器對外部時鐘信號進行分頻與計數(shù),并輸出兩路相位相反的數(shù)字載波信號;二選一開關的功能是:在基帶信號的控制下,對兩路載波信號進行選通,輸出的信號即為CPSK信號。圖中沒有包含模擬電路部分,輸出信號為數(shù)字信號。</p><p>  圖4-2 CPSK調(diào)制方框圖</p><p>  首先將頻率為fc的時鐘信號CLK分頻產(chǎn)生兩路相位相反頻率為fc/2的載波信號,在以q =4循環(huán)計數(shù)時,從

72、圖4-3所示的流程圖可以知道f1與f2的相位一直是相反的,頻率必然相同 。</p><p>  首先將頻率為fc的時鐘信號CLK分頻產(chǎn)生兩路相位相反頻率為fc/2的載波信號,在以q =4循環(huán)計數(shù)時,從圖4-3所示的流程圖可以知道f1與f2的相位一直是相反的,頻率必然相同 。</p><p>  圖4-3 CPSK調(diào)制分頻部分程序設計流程圖</p><p>  如下

73、圖4-4 所示為二選一電路的VHDL程序設計流程圖,用一個簡單的二重判斷語句便可完成這一功能。</p><p>  圖4-4 二選一電路的VHDL程序設計流程圖</p><p>  在程序中涉及到一些頻率計算,時鐘clk的頻率為fc,則其周期為Tc=1/fc,經(jīng)分頻之后f1和f2的頻率為fc/2,周期為T=2*Tc。在輸入的基帶信號x應為2*Tc的整數(shù)倍,這樣利于與波形的分析觀察。<

74、;/p><p>  4.2.2 程序及仿真結果分析</p><p>  CPSK調(diào)制程序如附錄A 所示。CPSK調(diào)制VHDL程序仿真圖如圖4-5、4-6 所示。</p><p>  圖4-5 CPSK調(diào)制VHDL程序仿真全圖</p><p>  圖4-6 CPSK調(diào)制VHDL程序仿真局部放大圖</p><p>  載波信

75、號f1、f2是通過時鐘clk分頻得到的,所以滯后clk一個周期,調(diào)制的輸出信號是有載波得來的,所以滯后載波f1、f2一個周期,從圖中調(diào)制輸出信號y可看出對輸入基帶信號調(diào)制的成功。</p><p>  CPSK解調(diào)電路的VHDL建模與程序設計</p><p>  CPSK解調(diào)器的建模方框圖如圖 4-7所示。圖中的計數(shù)器q輸出與發(fā)端同步的0相數(shù)字載波。判決器的工作原理是:把計數(shù)器輸出的0相載波

76、與數(shù)字CPSK信號中的載波進行邏輯“與”運算,當兩比較信號在判決時刻都為“1”時,輸出為“1”,否則輸出為“0”,以實現(xiàn)解調(diào)的目的。圖中沒有包含模擬電路部分,調(diào)制信號為數(shù)字信號。</p><p>  圖4-7 CPSK解調(diào)器的建模方框圖</p><p>  圖4-8 CPSK解調(diào)電路的VHDL程序設計流程圖</p><p>  程序?qū)斎氲男盘栠M行抽樣判決,以計

77、數(shù)器q來規(guī)定抽樣間隔時間,q以4位循環(huán)計數(shù),這里就形成4個周期的clk間隔來抽樣判決一次,根據(jù)輸入已調(diào)信號的相位判斷出調(diào)制前的信號。</p><p>  4.3.2 CPSK解調(diào)的VHDL程序及仿真結果分析</p><p>  CPSK解調(diào)的VHDL程序如附錄B所示,程序成功仿真后的波形圖如圖4-9 所示。當start為高電平時,進行CPSK解調(diào),計數(shù)器開始計數(shù),并在q=0時根據(jù)x的電平來

78、進行抽樣,并判決輸出為y,輸出的y滯后輸入的x一個clk。</p><p>  圖4-9 CPSK解調(diào)的VHDL程序仿真波形圖</p><p>  DPSK調(diào)制電路的VHDL建模與程序設計</p><p>  4.4.1 DPSK調(diào)制電路方框圖模型</p><p>  DPSK調(diào)制方框圖如圖4-10 所示。圖中計數(shù)與圖4-7中的計數(shù)器相同。

79、異或門與寄存器共同完成絕/相變換功能;CPSK調(diào)制器與圖12 CPSK調(diào)制器相同。</p><p>  圖4-10 DPSK調(diào)制電路方框圖</p><p>  下面程序設計部分就只包含絕對碼到相對碼的轉(zhuǎn)換,碼型轉(zhuǎn)換后再通過CPSK調(diào)制就實現(xiàn)了DPSK調(diào)制。如圖4-11所示為絕對碼轉(zhuǎn)換為相對碼的VHDL程序設計流程圖。這里確定計數(shù)器q的循環(huán)周期為4,所以絕對碼碼元長度確定為4倍的clk。要

80、完成, 利用VHDL程序中信號的延時性,用xx=xxx,</p><p>  y=xxx兩個公式就能完成絕對碼到相對碼的轉(zhuǎn)換。</p><p>  圖4-11 絕對碼轉(zhuǎn)換為相對碼的VHDL程序設計流程圖</p><p>  4.4.2 絕對碼轉(zhuǎn)換為相對碼的VHDL程序及仿真結果分析</p><p>  絕對碼轉(zhuǎn)換為相對碼的VHDL程序如附錄

81、C所示。絕對碼轉(zhuǎn)換為相對碼的VHDL程序仿真成功后的波形圖如圖4-12 所示,clk為系統(tǒng)時鐘,當start為高電平時,進行絕對碼到相對碼的轉(zhuǎn)換,這時輸入的絕對碼是按4個clk的周期為碼元長度,輸入的數(shù)字信號一定要注意這點。q為計數(shù)器,循環(huán)4位,在q=0時,對輸入的絕對碼x進行運算,得到y(tǒng)。輸出y是輸入信號x與中間寄存信號xx的異或。同時輸出的y滯后于信號x一個clk。</p><p>  圖4-12 絕對碼轉(zhuǎn)

82、換為相對碼的VHDL程序仿真波形</p><p>  DPSK解調(diào)電路的VHDL建模與程序設計</p><p>  4.5.1 DPSK解調(diào)電路的設計</p><p>  DPSK解調(diào)電路的方框圖如圖4-13 所示,DPSK解調(diào)電路采用CPSK解調(diào)電路加一個相對碼到絕對碼的轉(zhuǎn)換即可實現(xiàn)。CPSK解調(diào)電路和4.3節(jié)一樣,相對碼/絕對碼變換過程都是以計數(shù)器輸出信號為時鐘

83、的控制下完成的,下面就只設計相對碼到絕對碼的轉(zhuǎn)換程序即可。</p><p>  圖4-13 DPSK解調(diào)電路的方框圖</p><p>  如下圖4-14 所示為相對碼到絕對碼轉(zhuǎn)換的VHDL程序設計流程圖。這里確定計數(shù)器q的循環(huán)周期為4,所以相絕對碼碼元長度確定為4倍的clk。利用VHDL程序中信號的延時性,用y=xxx,xx=x兩個公式就能完成相對碼到絕對碼的轉(zhuǎn)換。</p>

84、<p>  圖4-14 相對碼到絕對碼轉(zhuǎn)換的VHDL程序設計流程圖</p><p>  4.5.2 相對碼到絕對碼的轉(zhuǎn)換程序及仿真波形分析</p><p>  相對碼轉(zhuǎn)換為絕對碼的VHDL程序如附錄D所示。相對碼轉(zhuǎn)換為絕對碼的VHDL程序仿真成功后的波形圖如圖4-15 所示,clk為系統(tǒng)時鐘,當start為高電平時,進行相對碼到絕對碼的轉(zhuǎn)換,這時輸入的相對碼是按4個clk的

85、周期為碼元長度,輸入的數(shù)字信號一定要注意這點。q為計數(shù)器,循環(huán)4位,在q=3時,對輸入的相對碼x進行運算,得到y(tǒng)。輸出y是輸入信號x與xx(輸入信號x延時一個基帶碼長)的異或。同時輸出的y滯后于輸入信號x一個基帶碼長(4個clk)。</p><p>  圖4-15 相對碼轉(zhuǎn)換為絕對碼的VHDL程序仿真波形圖</p><p>  注:工程的所有源碼都列在附錄中,完整的工程文檔在電子稿“PL

86、_CPSK”文件夾中</p><p><b>  總結</b></p><p>  這次的畢業(yè)設計讓我收獲良多,將以前所學的通信原理知識和FPGA綜合起來運用,并且應用的如此實際。這次我的設計主要是傾向于軟件方面的,學會編寫和設計VHDL程序,然后在Quartus II軟件上進行仿真。這次設計的一些總結如下:</p><p>  (1) 完成了

87、PSK通信系統(tǒng)的VHDL程序設計,并在此基礎上作了一系列的分析對比;</p><p>  (2) 學習了FPGA的基本知識,從編程的角度出發(fā)完成了利用FPGA器件進行理論設計,并進行了仿真。</p><p>  (3) 進一步加深了對通信模式的學習。</p><p><b>  設計中存在的問題:</b></p><p>

88、;  (1)程序編譯時,存在管腳不出信號,與延時有關。 </p><p>  (2)系統(tǒng)仿真時,設計的CLK周期應與器件的時延相適應,否則也不能正確輸出。</p><p><b>  參考文獻</b></p><p>  [1] 杜慧敏,李肴謀.基于瓏行Verilog的FPGA設計基礎(M).第1版,西安:西安電子科技大學出版社,2006<

89、;/p><p>  [2] 徐現(xiàn)嶺.現(xiàn)代通信系統(tǒng)調(diào)制解調(diào)的基本技術和實現(xiàn)方法[D].西安電子科技大學,2008.1:5</p><p>  [3] 常君明,顏彬.數(shù)字通信原理(M).北京:清華大學出版社,2010.1:5</p><p>  [4] 周燕..基于DSP的ASK調(diào)制解調(diào)的研究[D]. 西安石油大學,2009.5:8</p><p>

90、  [5] JohnF,Wakerly.DIGITAL DESIGN Principles and Practices(3rd.ed).北京:高等教育出版社影印,2001:34-86</p><p>  [6] Sklar,B.數(shù)字通信——基礎與應用[M].徐平平等譯,北京:電子工業(yè)出版社,2010.4:128-134</p><p>  [7] 梅燦華,張潛.基于FPGA的鍵控移頻調(diào)制解

91、調(diào)器的設計與實現(xiàn)[J].安徽大學學報:自然科學版,2005,29(2):22—27</p><p>  [8] Haykin,S.模擬與數(shù)字通信導論[M].徐波等譯.北京:電子工業(yè)出版社,2007.2:196-211</p><p>  [9] Madhow,U.數(shù)字通信基礎[M].趙志忠等譯,北京:人民郵電出版社,2010.8:5-133</p><p>  [1

92、0] 常君明,顏彬.數(shù)字通信系統(tǒng)(M).北京:清華大學出版社,2010.1:67-100</p><p>  [11] 李環(huán),任波,華宇寧.通信系統(tǒng)仿真設計與應用(M).北京:電子工業(yè)出版社,2009.3:60-80</p><p>  [12] 金瑋. 基于FPGA的振幅鍵控調(diào)制解調(diào)電路的設計與實現(xiàn)[J]. 科技信息(學術版),2007(24):80-82</p><

93、p>  [13] 李卓藝, 林波. 頻率鍵控調(diào)制解調(diào)電路的設計[J].機電信息,2011(21):147-149</p><p>  [14] 樊昌信,任光亮.現(xiàn)代通信原理(M).北京:人民郵電出版社,2009.10:112-136</p><p>  [15] 潘莉, 郭東輝, 紀安妮等. 數(shù)字調(diào)制解調(diào)技術及其應用的研究進展[J]. 電訊技術,2001,41(5):26-29<

94、;/p><p>  [16] Theodore S.Rappaport.Wireless communication principle & practice[M].Prentice Hall International.Inc.電子工業(yè)出版社,1999</p><p>  [17] 潘莉, 郭東輝, 紀安妮,等. 數(shù)字調(diào)制解調(diào)技術及其應用的研究進展[J]. 電訊技術,2001,41(

95、5):26-29</p><p>  [18] 段吉海,黃智偉. 基于CPLD/FPGA的數(shù)字通信系統(tǒng)建模與設計(M).電子工業(yè)出版社,2004.1</p><p>  [19] 王兆祥,韓政,張衛(wèi)東. 通信系統(tǒng)仿真(M). 國防工業(yè)出版社, 2009 .8</p><p>  [20] 李環(huán),任波,華宇寧.通信系統(tǒng)仿真設計與應用(M).北京:電子工業(yè)出版社,200

96、9.3:60-80</p><p><b>  致謝</b></p><p>  在論文完成之際,我要特別感謝我的指導老師老師的熱情關懷和悉心指導。在我撰寫論文的過程中,老師傾注了大量的心血和汗水,無論是在論文的選題、構思和資料的收集方面,還是在論文的研究方法以及成文定稿方面,我都得到了老師悉心細致的教誨和無私的幫助,特別是他廣博的學識、深厚的學術素養(yǎng)、嚴謹?shù)闹螌W精神

97、和一絲不茍的工作作風使我終生受益,在此表示真誠地感謝和深深的謝意。 </p><p>  在論文的寫作過程中,也得到了許多同學的寶貴建議,同時還得到許多在工作過程中許多同事的支持和幫助,在此一并致以誠摯的謝意。</p><p>  感謝所有關心、支持、幫助過我的良師益友。</p><p>  最后,向在百忙中抽出時間對本文進行評審并提出寶貴意見的各位老師表示衷心地感

98、謝!</p><p><b>  附錄:代碼清單</b></p><p>  附錄A. CPSK調(diào)制VHDL程序</p><p>  library ieee;</p><p>  use ieee.std_logic_arith.all;</p><p>  use ieee.std_logic

99、_1164.all;</p><p>  use ieee.std_logic_unsigned.all;</p><p>  entity PL_CPSK is</p><p>  port(clk :in std_logic; --系統(tǒng)時鐘</p><p>  start :in std_logic;

100、 --開始調(diào)制信號</p><p>  x :in std_logic; --基帶信號</p><p>  y :out std_logic); --已調(diào)制輸出信號</p><p>  end PL_CPSK;</p><p>  architecture behav

101、of PL_CPSK is</p><p>  signal q:std_logic_vector(1 downto 0); --2位計數(shù)器</p><p>  signal f1,f2:std_logic; --載波信號</p><p><b>  begin</b></p><p>  

102、process(clk) --此進程主要是產(chǎn)生兩重載波信號f1,f2</p><p><b>  begin</b></p><p>  if clk'event and clk='1' then </p><p>  if start='0' then q&l

103、t;="00";</p><p>  elsif q<="01" then f1<='1';f2<='0';q<=q+1;</p><p>  elsif q="11" then f1<='0';f2<='1';q<=&quo

104、t;00";</p><p>  else f1<='0';f2<='1';q<=q+1;</p><p><b>  end if;</b></p><p><b>  end if;</b></p><p>  end process

105、;</p><p>  process(clk,x) --此進程完成對基帶信號x的調(diào)制 </p><p><b>  begin</b></p><p>  if clk'event and clk='1' then </p><p> 

106、 if q(0)='1' then</p><p>  if x='1' then y<=f1; --基帶信號x為‘1’時,輸出信號y為f1 </p><p>  else y<=f2; --基帶信號x為‘0’時,輸出信號y為f2</p><p><b>

107、;  end if;</b></p><p><b>  end if;</b></p><p><b>  end if;</b></p><p>  end process;</p><p>  end behav;</p><p>  附錄B. CPSK解調(diào)

108、VHDL程序</p><p>  library ieee;</p><p>  use ieee.std_logic_arith.all;</p><p>  use ieee.std_logic_1164.all;</p><p>  use ieee.std_logic_unsigned.all;</p><p&g

109、t;  entity PL_CPSK2 is</p><p>  port(clk :in std_logic; --系統(tǒng)時鐘</p><p>  start :in std_logic; --同步信號</p><p>  x :in std_logic;

110、 --調(diào)制信號</p><p>  y :out std_logic); --基帶信號</p><p>  end PL_CPSK2;</p><p>  architecture behav of PL_CPSK2 is</p><p>  signal q:integer range 0 t

111、o 3; </p><p><b>  begin</b></p><p>  process(clk) --此進程完成對CPSK調(diào)制信號的解調(diào)</p><p><b>  begin</b></p><p>  if clk'

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預覽,若沒有圖紙預覽就沒有圖紙。
  • 4. 未經(jīng)權益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 眾賞文庫僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負責。
  • 6. 下載文件中如有侵權或不適當內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準確性、安全性和完整性, 同時也不承擔用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論