版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進行舉報或認領(lǐng)
文檔簡介
1、<p> 螇膇肀蕆衿羀荿蒆蕿?zāi)h芅薅蟻羈膁薅螃膄肇薄袆羇蒅薃蚅蝿莁薂螈肅芇薁袀袈膃薀薀肅聿蕿螞袆莈蠆螄肂芄蚈袇襖膀蚇薆肀肆蚆蝿袃蒄蚅袁膈莀蚄羃羈芆蚃蚃膆膂芀螅罿肈艿袇膅莇莈薇羈芃莇蠆膃腿莆袂羆膅莆羄衿蒄蒞蚄肄莀莄螆袇芆莃袈肂膂蒂薈裊肈蒁蝕肁莆蒁螃袃節(jié)蒀羅聿羋葿蚅羂膄蒈螇膇肀蕆衿羀荿蒆蕿?zāi)h芅薅蟻羈膁薅螃膄肇薄袆羇蒅薃蚅蝿莁薂螈肅芇薁袀袈膃薀薀肅聿蕿螞袆莈蠆螄肂芄蚈袇襖膀蚇薆肀肆蚆蝿袃蒄蚅袁膈莀蚄羃羈芆蚃蚃膆膂芀螅罿肈艿袇膅莇莈薇
2、羈芃莇蠆膃腿莆袂羆膅莆羄衿蒄蒞蚄肄莀莄螆袇芆莃袈肂膂蒂薈裊肈蒁蝕肁莆蒁螃袃節(jié)蒀羅聿羋葿蚅羂膄蒈螇膇肀蕆衿羀荿蒆蕿?zāi)h芅薅蟻羈膁薅螃膄肇薄袆羇蒅薃蚅蝿莁薂螈肅芇薁袀袈膃薀薀肅聿蕿螞袆莈蠆螄肂芄蚈袇襖膀蚇薆肀肆蚆蝿袃蒄蚅袁膈莀蚄羃羈芆蚃蚃膆膂芀螅罿肈艿袇膅莇莈薇羈芃莇蠆膃腿莆袂羆膅莆羄衿蒄蒞蚄肄莀莄螆袇芆莃袈肂膂蒂薈裊肈蒁蝕肁莆蒁螃袃節(jié)蒀羅聿羋葿蚅羂膄蒈螇膇肀蕆衿羀荿蒆蕿?zāi)h芅薅蟻羈膁薅螃膄肇薄袆羇蒅薃蚅蝿莁薂螈肅芇薁袀袈膃薀薀肅聿蕿螞袆莈蠆螄
3、肂芄蚈袇襖膀蚇薆肀肆蚆蝿袃蒄蚅袁膈莀蚄羃羈芆蚃蚃膆膂芀螅罿肈艿袇膅莇莈薇羈芃莇蠆膃腿莆袂羆膅莆羄衿蒄蒞蚄肄莀莄螆袇芆莃袈肂膂蒂薈裊肈蒁蝕肁莆蒁</p><p> FPGA課程設(shè)計報告</p><p> 設(shè)計題目:基于FPGA的FIR濾波器設(shè)計 </p><p> 專 業(yè):信息類</p><p>
4、 班 級:信息094班</p><p><b> 學(xué) 號: </b></p><p><b> 姓 名: </b></p><p><b> 指導(dǎo)教師: </b></p><p><b> 2013年1月</b></p&g
5、t;<p> 基于FPGA的FIR濾波器的設(shè)計報告</p><p><b> 目 錄</b></p><p> 1 概述……………………………………………………………3</p><p> 2 課程設(shè)計要求…………………………………………………4</p><p> 3 設(shè)計內(nèi)容……………………………
6、…………………………5</p><p> 3.1課程設(shè)計內(nèi)容……………………………………………5</p><p> 3.1.1 設(shè)計題目……………………………………………5</p><p> 3.1.2 設(shè)計要求……………………………………………5</p><p> 3.2 設(shè)計方案的確定………………………………………….6</
7、p><p> 3.2.1濾波器的設(shè)計方法………………………………….6</p><p> 3.2.2 濾波器設(shè)計實現(xiàn)方法……………………………….7</p><p> 3.2.3方案確定……………………………………………..8</p><p> 3.3 設(shè)計方案的實現(xiàn)………………………………………….8</p><p&
8、gt; 3.3.1利用FDATool工具設(shè)計FIR數(shù)字濾波器………....8</p><p> 3.3.2 FIR數(shù)字濾波器的FPGA實現(xiàn)…………………….12</p><p> 3.4 仿真測試與分析………………………………………….15</p><p> 4 心得體會………………………………………………………..17</p><p&g
9、t; 5 參考文獻……………………………………………………..…17</p><p><b> 1 概 述</b></p><p> 我們學(xué)生通過這次的課設(shè)深入理解和消化了基本理論、進一步提高綜合應(yīng)用能力并且鍛煉獨立解決問題的能力,我們將《數(shù)字信號處理》、《集成電路原理與應(yīng)用》和《《FPGA系統(tǒng)設(shè)計與應(yīng)用》幾門課程融合在一起綜合應(yīng)用設(shè)計一個實用的數(shù)字FIR濾波器
10、。</p><p> 本報告中首先講解了這次設(shè)計的具體內(nèi)容,以及所要求的數(shù)字FIR濾波器的技術(shù)指標(biāo)。然后,數(shù)字濾波器的一些設(shè)計方法,并具體確定我這次設(shè)計所用的設(shè)計方案。</p><p> 濾波器在matlab中的設(shè)計方法應(yīng)用。通過matlab得到所需濾波器的具體參數(shù)h(n),</p><p> 然后用這些所設(shè)計的參數(shù),通過Quartus ii工具編程具體實現(xiàn)濾
11、波器功能。</p><p> 這次濾波器實現(xiàn)過程中,用到以下小模塊:</p><p> 延時器,加法器,乘法器,減法器。</p><p> 報告中有具體的VHDL源碼程序。仿真測試結(jié)果。</p><p> 2 課程設(shè)計要求及注意事項</p><p> 1. 設(shè)計過程以小組為單位,各組設(shè)一個組長,負責(zé)組織和協(xié)調(diào)
12、本小組的討論、任務(wù)分工等;</p><p> 2. 設(shè)計過程必須在本組內(nèi)獨立完成,不得跨組參考或抄襲,避免方案出現(xiàn)雷同;</p><p> 3. 設(shè)計書一律采用專用報告紙,用統(tǒng)一封面裝訂;</p><p> 4. 課程設(shè)計原則上在3周內(nèi)做完;</p><p> 5. 最后一周周五進行優(yōu)秀設(shè)計方案評選,在各組推選代表進行方案介紹的基礎(chǔ)上
13、,推選出2-3個優(yōu)秀設(shè)計方案。</p><p> 6. 學(xué)有余力的學(xué)生在完成必做設(shè)計內(nèi)容的基礎(chǔ)上,可對內(nèi)容進一步展開設(shè)計,以提高綜合應(yīng)用能力,鍛煉獨立解決問題的能力。</p><p><b> 3 課程設(shè)計內(nèi)容</b></p><p> 3.1 課程設(shè)計題目及要求</p><p> 3.1.1 設(shè)計題目:基于FP
14、GA的FIR濾波器設(shè)計</p><p> 3.1.2 設(shè)計要求</p><p> 利用所學(xué)知識,采用MATLAB和FPGA相結(jié)合完成FIR濾波器的設(shè)計仿真。采用直接法或分布式算法實現(xiàn)FIR數(shù)字濾波器,了解兩種算法的優(yōu)缺點,選擇其中一種算法,得出用它來實現(xiàn)FIR濾波器的硬件結(jié)構(gòu),對其實現(xiàn)方式進行研究,分別采用合適的方法來設(shè)計,最后利用FPGA器件實現(xiàn)FIR數(shù)字濾波器的硬件電路,并用Mat
15、lab對實現(xiàn)的結(jié)果進行仿真分析。</p><p><b> 設(shè)計指標(biāo):</b></p><p> 1)系統(tǒng)采樣頻率:10KHz </p><p> 2)通帶邊緣頻率:1KHz</p><p> 3)阻帶邊緣頻率:2KHz</p><p> 4)通帶最大衰減:1dB</p>
16、<p> 5)阻帶最小衰減:47dB</p><p> 6)類型:FIR低通</p><p> 7)輸入序列位寬為8位的有符號數(shù)(最高位為符號位);</p><p> 8)輸出序列位寬為16位的有符號數(shù)(最高位為符號位)。</p><p> 3.2 設(shè)計方案的確定</p><p> 3.2.1濾波
17、器的設(shè)計方法:</p><p> 一般可分為時窗函數(shù)法和等波紋設(shè)計法。</p><p> 時窗函數(shù)法一般設(shè)計步驟如下:</p><p> A. 根據(jù)所需設(shè)計的數(shù)字濾波器類型(低通、高通、帶通、帶阻),確定線性相位數(shù)字濾波器類型。</p><p> B. 根據(jù)濾波器阻帶衰減,選擇窗函數(shù)的類型,根據(jù)過渡帶寬度確定時窗函數(shù)的長度,并根據(jù)線性
18、相位條件進行修正。</p><p> C. 確定理想數(shù)字濾波器的頻率響應(yīng)函數(shù),其中為幅度特性函數(shù),為相位特性函數(shù)。</p><p> D. 計算理想濾波器的單位脈沖響應(yīng),即。</p><p> E. 加窗得到設(shè)計結(jié)果,即。</p><p> 等波紋設(shè)計一般步驟如下:</p><p> A.根據(jù)濾波器的設(shè)計指標(biāo)
19、要求:邊界頻率、通帶最大衰減、阻帶最小衰減等,</p><p> 計濾波器的長度,并確定幅度誤差加權(quán)函數(shù)。</p><p> B.采用雷米茲交替算法,獲得所設(shè)計的濾波器的單位脈沖響應(yīng)</p><p> 3.2.2 濾波器設(shè)計實現(xiàn)方法</p><p> 一般有線性相位FIR直接型結(jié)構(gòu)和分布式算法的設(shè)計。</p><p
20、><b> 3.2.3方案確定</b></p><p> 經(jīng)過多種方案的比較,本次設(shè)計最終的方案是:等波紋法的改進的直接型結(jié)構(gòu)FIR數(shù)字濾波器</p><p> 利用MATLAB提供的FDATOOL濾波器設(shè)計工具,采用等波紋法的設(shè)計。設(shè)計的實現(xiàn)為線性相位FIR濾波器的直接結(jié)構(gòu)。</p><p><b> 3.3 方案實現(xiàn)
21、</b></p><p> 3.3.1 利用FDATool工具設(shè)計FIR數(shù)字濾波器</p><p> FIR濾波器設(shè)計系數(shù)的確定</p><p> 等波紋法低通濾波器:</p><p> 階數(shù):15 采樣頻率:10k 通帶頻率:1k 阻帶頻率:2k</p><p> 通帶衰減1db 阻
22、帶衰減:47db</p><p> 濾波器類型:等波紋FIR</p><p><b> 濾波器幅頻響應(yīng)</b></p><p><b> 濾波器相頻響應(yīng)</b></p><p><b> 濾波器系數(shù)</b></p><p><b>
23、導(dǎo)出后的系數(shù)</b></p><p><b> Num*(2^6)</b></p><p> Columns 1 through 9 (括號內(nèi)指在FPGA中不帶負號的具體移位實現(xiàn),)</p><p> -0.5695(右移1位) -1.4400(原數(shù)+右移1位) -2.0498(左移1位) -1.1969(原數(shù)+右
24、移2位) 2.0784(左移1位) 7.5634(左移2位+左移1+原數(shù)+右移1位) 13.5214(左移3位+左移2位+原數(shù)+右移1位) 17.4256(左移4位+原數(shù)+右移1位) 17.4256</p><p> Columns 10 through 16 </p><p> 13.5214 7.5634 2.0784 -1.1969 -
25、2.0498 -1.4400 -0.5695</p><p> 3.3.2 FIR數(shù)字濾波器的FPGA實現(xiàn)</p><p><b> 一,工程實現(xiàn)概述</b></p><p> 工程設(shè)計所用的模塊有:</p><p> 頂層原理圖文件:fir.qdf。</p><p> 底層v
26、hdl文件:延時器:suoc8。</p><p> 實現(xiàn)系數(shù)相乘的mult0~mult7 。</p><p> 加法器:add889,add41415,add51516,</p><p><b> 減法器:sub16</b></p><p> 直接型結(jié)構(gòu)的FPGA實現(xiàn)。頂層原理圖如下:</p>&
27、lt;p> 我的原理說明是根據(jù)上面原理圖的設(shè)計順序逐步進行的。</p><p> 1 寄存器(延時器)。</p><p> 原理圖上標(biāo)有suoc8的器件。輸入8位數(shù)據(jù),通過suoc8的D觸發(fā)寄存器,達到延時的作用。</p><p><b> 2 第一級加法器</b></p><p> 數(shù)據(jù)通過add889
28、,就是8位數(shù)字輸入,9位數(shù)字輸出加法器,完成第一級相加運算,因為本題是16階FIR數(shù)字濾波器,它的濾波系數(shù)有對稱的關(guān)系,所以采用上面的第一級加法器,達到簡化運算的效果。</p><p><b> 3 乘法器</b></p><p> 乘法器用來將數(shù)據(jù)乘以由matlab計算得到的濾波系數(shù),各系數(shù)的結(jié)果是通過移位運算得到,節(jié)約了硬件乘法器資源。</p>
29、<p> 由系數(shù)可知: -0.5695(右移1位) -1.4400(原數(shù)+右移1位) -2.0498(左移1位) -1.1969(原數(shù)+右移2位) 2.0784(左移1位) 7.5634(左移2位+左移1+原數(shù)+右移1位) 13.5214(左移3位+左移2位+原數(shù)+右移1位) 17.4256(左移4位+原數(shù)+右移1位)前4個全為負,后4個全為正。因此前四個相加,后四個相加,再用后四個的結(jié)果
30、減去前四個結(jié)果,即可得到有負號相乘的最終結(jié)果。</p><p> 但在乘法器設(shè)計時暫時沒有考慮符號,符號問題由下面的減法器實現(xiàn)。</p><p><b> 4 第二級加</b></p><p> 第二級加法器為add141415。Add141415就是說14位數(shù)據(jù)加14位數(shù)據(jù)輸出15位數(shù)據(jù)。</p><p> 在
31、乘法級時,我已將數(shù)據(jù)全都整理成14位輸出,所以這級可用同一類型加法器。</p><p><b> 5 第三級加</b></p><p> 與上一級大體相同的原理,加法器為add151516。</p><p><b> 6 減法器輸出</b></p><p> 輸出通過減法器sub16:16位
32、數(shù)減去16位數(shù)結(jié)果輸出16位數(shù)。由于是向減,不會有溢出現(xiàn)象,因此沒做符號位的擴展。到此,整個FIR數(shù)字濾波器設(shè)計完畢,下面詳細說明各個部分的具體實現(xiàn)。</p><p><b> 二,詳細說明 </b></p><p> 我的說明是根據(jù)上面的概要逐一展開的。</p><p> 我的設(shè)計是先編寫各個功能的vhdl源文件,再生成功能模塊,最后
33、在頂層用原理圖的設(shè)計方法連線,組成整個系統(tǒng)。</p><p> 1 寄存器(延時器)</p><p> 設(shè)計中用D觸發(fā)器組成寄存器,實現(xiàn)寄存功能。這里用來寄存一組8位的二進制數(shù)據(jù)。</p><p><b> 實現(xiàn)功能:</b></p><p> 在CP正跳沿前接受輸入信號,正跳沿時觸發(fā)翻轉(zhuǎn),正跳沿后輸入即被封鎖。
34、</p><p><b> 源文件:</b></p><p> LIBRARY IEEE;</p><p> USE IEEE.STD_LOGIC_1164.ALL;</p><p> ENTITY suoc8 IS </p><p> PORT( clk : IN STD_LOG
35、IC;</p><p> clear : IN STD_LOGIC;</p><p> Din : IN STD_LOGIC_VECTOR(7 DOWNTO 0); </p><p> Dout : OUT STD_LOGIC_VECTOR(7 DOWNTO 0) ); </p><p> END suoc8; &
36、lt;/p><p> ARCHITECTURE a OF suoc8 IS </p><p><b> BEGIN </b></p><p> PROCESS(clk,clear) </p><p><b> BEGIN </b></p><p> IF clear=&
37、#39;1' THEN</p><p> Dout<="00000000";</p><p> ELSIF clear='0' THEN</p><p> IF(clk'EVENT AND clk='1') THEN</p><p> Dout <= Di
38、n;</p><p><b> END IF; </b></p><p><b> END IF; </b></p><p> END PROCESS; </p><p><b> END a;</b></p><p><b> 2
39、第一級加法器</b></p><p> 實現(xiàn)兩個二進制數(shù)字的相加運算。當(dāng)?shù)竭_時鐘上升沿時,將兩數(shù)輸入,運算,輸出結(jié)果。</p><p><b> 源文件:</b></p><p> LIBRARY IEEE;</p><p> USE IEEE.STD_LOGIC_1164.ALL;</p>
40、;<p> USE IEEE.STD_LOGIC_arith.ALL;</p><p> ENTITY add889 is</p><p> PORT(clk : in STD_LOGIC;</p><p> Din1,Din2 :in signed (7 downto 0);</p><p> Dout:out si
41、gned(8 downto 0));</p><p> END add889;</p><p> ARCHITECTURE a of add889 is</p><p> SIGNAL s1: signed(8 downto 0);</p><p> SIGNAL s2: signed(8 downto 0);</p>
42、<p><b> BEGIN</b></p><p> s1<=(Din1(7)&Din1);</p><p> s2<=(Din2(7)&Din2);</p><p> PROCESS(Din1,Din2,clk)</p><p><b> BEGIN</
43、b></p><p> if clk'event and clk='1' then</p><p> Dout<=s1+s2;</p><p><b> end if;</b></p><p> end process;</p><p><b>
44、; end a;</b></p><p><b> 3 乘法器</b></p><p><b> 功能:</b></p><p> 將數(shù)據(jù)乘以由matlab計算得到的濾波系數(shù)??偣灿?個乘法器。</p><p> 源文件(以mult1為例):</p><p&
45、gt; LIBRARY ieee;</p><p> USE ieee.std_logic_1164.all;</p><p> USE ieee.std_logic_arith.all;</p><p> ENTITY mult1 is</p><p><b> PORT</b></p><
46、;p> ( clk : IN STD_LOGIC;</p><p> Din : IN SIGNED (8 DOWNTO 0);</p><p> Dout : OUT SIGNED (13 DOWNTO 0));</p><p> END mult1;</p><p> ARCHITECTURE a OF mult1
47、IS</p><p> SIGNAL s1 : SIGNED (13 DOWNTO 0);</p><p> --SIGNAL s2 : SIGNED (10 DOWNTO 0);</p><p> SIGNAL s3 : SIGNED (13 DOWNTO 0);</p><p><b> BEGIN</b>&
48、lt;/p><p> P1:process(Din)</p><p><b> BEGIN</b></p><p> s1(13 DOWNTO 5)<=Din;</p><p> s1( 4 DOWNTO 0)<="00000";</p><p> --s2(
49、10 DOWNTO 1)<=Din;</p><p> --s2(0)<='0';</p><p> if Din(8)='0' then </p><p> s3<=("00000"&Din)+("000000"&Din(8 DOWNTO 1));<
50、;/p><p><b> else </b></p><p> s3<=("11111"&Din)+("111111"&Din(8 DOWNTO 1));</p><p><b> end if;</b></p><p> end p
51、rocess;</p><p> P2: PROCESS(clk)</p><p><b> BEGIN</b></p><p> if clk'event and clk='1' then</p><p><b> Dout<=s3;</b></p>
52、;<p><b> end if;</b></p><p> END PROCESS;</p><p><b> END a;</b></p><p><b> 4 第二級加</b></p><p> 對于乘了濾波系數(shù)的數(shù)據(jù),進行第二次的加和,</
53、p><p> 以add41415為例源碼如下:</p><p> LIBRARY IEEE;</p><p> USE IEEE.STD_LOGIC_1164.ALL;</p><p> USE IEEE.STD_LOGIC_arith.ALL;</p><p> ENTITY add141415 is</
54、p><p> PORT(clk : in STD_LOGIC;</p><p> Din1,Din2 :in signed (13 downto 0);</p><p> Dout:out signed(14 downto 0));</p><p> END add141415;</p><p> ARCHITE
55、CTURE a of add141415 is</p><p> SIGNAL s1: signed(14 downto 0);</p><p> SIGNAL s2: signed(14 downto 0);</p><p><b> BEGIN</b></p><p> s1<=(Din1(13)&am
56、p;Din1);</p><p> s2<=(Din2(13)&Din2);</p><p> PROCESS(Din1,Din2,clk)</p><p><b> BEGIN</b></p><p> if clk'event and clk='1' then</p
57、><p> Dout<=s1+s2;</p><p><b> end if;</b></p><p> end process;</p><p><b> end a;</b></p><p><b> 5輸出減法器</b></p&g
58、t;<p> 乘法系數(shù)為正的各分支之和減去乘法系數(shù)為負的各分支。Din1接正,Din2接負。</p><p> 源碼如下sub16:</p><p> LIBRARY IEEE;</p><p> USE IEEE.STD_LOGIC_1164.ALL;</p><p> USE IEEE.STD_LOGIC_arit
59、h.ALL;</p><p> ENTITY sub16 is</p><p> PORT(clk : in STD_LOGIC;</p><p> Din1,Din2 :in signed (15 downto 0);</p><p> Dout:out signed(15 downto 0));</p><p&
60、gt; END sub16;</p><p> ARCHITECTURE a of sub16 is</p><p> SIGNAL s1: signed(16 downto 0);</p><p> SIGNAL s2: signed(16 downto 0);</p><p> SIGNAL s3: signed(16 down
61、to 0);</p><p><b> BEGIN</b></p><p> s1<=Din1(15)&Din1;</p><p> s2<=Din2(15)&Din2;</p><p> PROCESS(Din1,Din2,clk)</p><p><b
62、> BEGIN</b></p><p> if clk'event and clk='1' then</p><p> s3<=s1-s2;</p><p><b> end if;</b></p><p> end process;</p><
63、;p> Dout<=s3(16 downto 1);</p><p><b> end a;</b></p><p> 3.4 仿真測試與分析</p><p> 為了測試15階等波紋FIR低通濾波器的濾波性能,本設(shè)計加入了輸入 的信號,其中是疊加在上的噪聲。如圖4-4所示,假設(shè)抽樣頻率為1000,則經(jīng)過抽樣的輸入序列為: ,
64、取n=0,1…39,由于為浮點數(shù),本設(shè)計將擴大2^4倍,得到,然后再取整。具體如表4-4所示:</p><p> 表4-4 輸入序列的處理</p><p> 抽樣,放大取整后的40個輸入數(shù)據(jù)如下:</p><p> Din=[16,-13,21 ,-9, 25,-4,29, -2, 31,0,32, 0, 31, -2,29, -5,25, -9, 21,-
65、13,16,-19, 11,-23,7,-27,3,-30, 1, -32,0, -32,1,-30, 3,-27,7,-23,11,-19]</p><p> 濾波系數(shù):h=[-0.5,-1.4,-2.0,-1.2,2.0,7.5,13.5,17.4,17.4,13.5,7.5,2.0,-1.2,-1.4,-0.5]</p><p> Quartus II 仿真波形如下:</p
66、><p><b> 如仿真圖輸出為:</b></p><p> Dout=[-4,-9,-11,-10,4,25,57,89,126,167,222,285,358,427,486,532,560,574,573,558,527,484,430,366,295,217,133,45,-45,-133,-217,-295,-366,-430,-485,-529,-560
67、,-576,-560,-525,-476,-418,-356,-299,-243,-191,-136,-82,-33,-1,17,12,5]</p><p> Matlab中卷積結(jié)果如下:</p><p> y=round(conv(h,Din)/2)</p><p><b> y =</b></p><p>
68、Columns 1 through 24 </p><p> -4 -8 -12 -9 3 27 56 90 126 170 222 287 358 432 490 536 563 583 582 571 539 500 443 383</p><p> Columns 25 th
69、rough 48 </p><p> 309 234 147 61 -34 -121 -210 -286 -363 -425 -486 -529 -566 -580 -585 -568 -539 -489 -434 -371 -315 -258 -207 -149</p><p> Columns 49 through 54<
70、;/p><p> -96 -45 -11 9 11 5</p><p> 仿真結(jié)果與matlab計算結(jié)果比較可知基本一樣。</p><p> 在matlab中輸出函數(shù)如圖:</p><p> t=0:.5:50;</p><p> y=sin(0.05*pi*t)+cos(pi*t)
71、;</p><p> plot(t,y);</p><p> 抽樣40點x(n)如下:</p><p> t = 0 : 1 : 39;y = sin(0.05*pi*t)+cos(pi*t);stem(t, y);</p><p> 仿真輸出在matlab中桿狀圖表示:</p><p> Dout=[-4,
72、-9,-11,-10,4,25,57,89,126,167,222,285,358,427,486,532,560,574,573,558,527,484,430,366,295,217,133,45,-45,-133,-217,-295,-366,-430,-485,-529,-560,-576,-560,-525,-476,-418,-356,-299,-243,-191,-136,-82,-33,-1,17,12,5]</p
73、><p> t=0:52;stem(t,Dout);</p><p> 濾波后有Dout得如下圖:</p><p> 結(jié)論:比較仿真輸出與matlab計算輸出幾乎完全一樣,可得所設(shè)計是正確的。</p><p><b> 4 心得體會</b></p><p> 在這次的課程設(shè)計中,將以前的所學(xué)
74、知識得到一個綜合的應(yīng)用和鞏固學(xué)習(xí)。首先,這次課設(shè)能夠完全順利的實現(xiàn)最終的結(jié)果,要感謝我們的指導(dǎo)老師楊艷老師:老師您辛苦了。</p><p> 然后,通過這次課設(shè)學(xué)習(xí)到了,具體濾波器的設(shè)計與應(yīng)用。這次設(shè)計的是個低通濾波器,但可以舉一反三的效果,以后無論是高通,帶通,帶阻濾波器都會設(shè)計應(yīng)用。</p><p> 另外,這次課設(shè)讓我重新熟悉到了,功能強大的matlab工具軟件。通過課設(shè)現(xiàn)在可以
75、進一步的對matlab中一些功能函數(shù)的運用。</p><p> 最后,對嵌入式FPGA的具體系統(tǒng)設(shè)計應(yīng)用有了一個更加確切的認識與實踐。</p><p><b> 5 參考文獻</b></p><p> [1]劉愛榮.EDA技術(shù)與CPLD/FPGA開發(fā)應(yīng)用簡明教程[M]. 北京:清華大學(xué)出版社,2007.</p><p&
76、gt; [2]潘松.EDA技術(shù)實用教程[M]. 北京:清華大學(xué)出版社,2006.</p><p> [3]薛年喜. MATLAB在數(shù)字信號處理中的應(yīng)用[M]. 北京:清華大學(xué)出版社,2003.</p><p> [4]程佩青. 數(shù)字信號處理教程[M]. 北京:清華大學(xué)出版社,2003.</p><p> 羃莂薀薂腿羋蕿蚄羂芄薈袇芇膀薇罿肀葿薆蠆袃蒞薅螁肈芁薄
77、袃袁膇蚄薃肇肅蚃蚅衿莁螞螈肅莇蟻羀羈芃蝕蝕膃腿蠆螂羆蒈蚈襖膁莄蚈羆羄芀螇蚆膀膆莃螈羃肂莂羈膈蒀莁蝕肁莆莁螃芆節(jié)莀裊聿膈荿羇袂蕆莈蚇肇莃蕆蝿袀艿蒆袂肆膅蒅薁袈膁蒅螄膄葿蒄袆羇蒞蒃羈膂芁蒂蚈羅膇蒁螀膁肅薀袂羃莂薀薂腿羋蕿蚄羂芄薈袇芇膀薇罿肀葿薆蠆袃蒞薅螁肈芁薄袃袁膇蚄薃肇肅蚃蚅衿莁螞螈肅莇蟻羀羈芃蝕蝕膃腿蠆螂羆蒈蚈襖膁莄蚈羆羄芀螇蚆膀膆莃螈羃肂莂羈膈蒀莁蝕肁莆莁螃芆節(jié)莀裊聿膈荿羇袂蕆莈蚇肇莃蕆蝿袀艿蒆袂肆膅蒅薁袈膁蒅螄膄葿蒄袆羇蒞蒃羈膂芁蒂
78、蚈羅膇蒁螀膁肅薀袂羃莂薀薂腿羋蕿蚄羂芄薈袇芇膀薇罿肀葿薆蠆袃蒞薅螁肈芁薄袃袁膇蚄薃肇肅蚃蚅衿莁螞螈肅莇蟻羀羈芃蝕蝕膃腿蠆螂羆蒈蚈襖膁莄蚈羆羄芀螇蚆膀膆莃螈羃肂莂羈膈蒀莁蝕肁莆莁螃芆節(jié)莀裊聿膈荿羇袂蕆莈蚇肇莃蕆蝿袀艿蒆袂肆膅蒅薁袈膁蒅螄膄葿蒄袆羇蒞蒃羈膂芁蒂蚈羅膇蒁螀膁肅薀袂羃莂薀薂腿羋蕿蚄羂芄薈袇芇膀薇罿肀葿薆蠆袃蒞薅螁肈芁薄袃袁膇蚄薃肇肅蚃蚅衿莁螞螈肅莇蟻羀羈芃蝕蝕膃腿蠆螂羆蒈蚈襖膁莄蚈羆羄芀螇蚆膀膆莃螈羃肂莂羈膈蒀莁蝕肁莆莁螃芆節(jié)莀
溫馨提示
- 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
- 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
- 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
- 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
- 5. 眾賞文庫僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負責(zé)。
- 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
- 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。
最新文檔
- 基于fpga的fir濾波器課程設(shè)計
- fpga課程設(shè)計報告--基于fpga的fir濾波器的設(shè)計
- 基于fpga的fir數(shù)字低通濾波器的課程設(shè)計
- 基于dsp的fir濾波器設(shè)計課程設(shè)計報告
- 基于fpga的fir濾波器設(shè)計
- dsp課程設(shè)計報告--fir濾波器設(shè)計
- fir帶通濾波器課程設(shè)計
- fir帶阻濾波器課程設(shè)計
- 基于fpga的fir濾波器設(shè)計書
- fir帶通濾波器課程設(shè)計
- dsp課程設(shè)計-fir濾波器設(shè)計
- dsp課程設(shè)計-fir濾波器設(shè)計
- dsp課程設(shè)計---fir濾波器設(shè)計
- dsp課程設(shè)計報告(fir濾波器的dsp實現(xiàn))
- dsp課程設(shè)計--fir濾波器設(shè)計
- dsp軟件課程設(shè)計---fir濾波器設(shè)計
- 應(yīng)用VHDL基于FPGA設(shè)計FIR濾波器.pdf
- 基于FPGA的高階FIR濾波器設(shè)計.pdf
- dsp課程設(shè)計-fir高通濾波器設(shè)計
- 基于fpga的fir數(shù)字濾波器設(shè)計
評論
0/150
提交評論