版權(quán)說(shuō)明:本文檔由用戶(hù)提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)
文檔簡(jiǎn)介
1、<p><b> 數(shù)字電路設(shè)計(jì)報(bào)告</b></p><p> 課題名稱(chēng):汽車(chē)尾燈控制設(shè)計(jì)方案</p><p><b> 一、實(shí)驗(yàn)任務(wù)</b></p><p> 用6個(gè)發(fā)光二極管模擬6個(gè)汽車(chē)尾燈(汽車(chē)尾部左、右各3個(gè)),用兩個(gè)開(kāi)關(guān)作為轉(zhuǎn)彎控制信號(hào)(一個(gè)開(kāi)關(guān)控制又轉(zhuǎn)彎,另一個(gè)開(kāi)關(guān)控制左轉(zhuǎn)彎)。</p&
2、gt;<p> 要求:當(dāng)汽車(chē)往前行使時(shí)(此時(shí)兩個(gè)開(kāi)關(guān)都未接通),6個(gè)燈全滅。當(dāng)汽車(chē)轉(zhuǎn)彎時(shí),若右轉(zhuǎn)彎(即右轉(zhuǎn)開(kāi)關(guān)接通),右邊3個(gè)尾燈從左至右順序亮滅,左邊3個(gè)燈全滅;若左轉(zhuǎn)彎(即左轉(zhuǎn)開(kāi)關(guān)接通),左邊3個(gè)尾燈從右至左順序亮滅,右邊3個(gè)燈全滅。當(dāng)左、右兩個(gè)開(kāi)關(guān)同時(shí)接通時(shí),6個(gè)尾燈同時(shí)明、暗閃爍。</p><p><b> 總體框圖如圖所示:</b></p><
3、p><b> 二、模塊及模塊功能</b></p><p> A、模塊MAGIC(見(jiàn)電路圖)。</p><p> 此模塊為整個(gè)程序的控制模塊。當(dāng)左轉(zhuǎn)時(shí),LFT信號(hào)有效;右轉(zhuǎn)時(shí),RIT信號(hào)有效;當(dāng)左右信號(hào)都有效時(shí),LR信號(hào)有效。</p><p> library ieee;</p><p> use ieee
4、.std_logic_1164.all;</p><p> entity magic is </p><p> port(left,right:in std_logic;</p><p> lft , rit ,lr: out std_logic);</p><p> end magic;</p><p>
5、architecture magic_arc of magic is</p><p><b> bigin </b></p><p> process (left ,right )</p><p> variable a:std_logic_vector(1 downto 0);</p><p><b>
6、 begin </b></p><p> a: =left&right ;</p><p> case a is </p><p> when "00"=>lft<='0';</p><p><b> rit<='0';</b&
7、gt;</p><p><b> lr<='0';</b></p><p> when "10"=>lft<='1';</p><p><b> rit<='0';</b></p><p><b&
8、gt; lr<='0';</b></p><p> when "01"=>rit<='1';</p><p><b> lft<='0';</b></p><p><b> lr<='0';</b
9、></p><p> when others =>rit<='1';</p><p><b> lft<='1';</b></p><p><b> lr<='1';</b></p><p> end case ;
10、</p><p> end process;</p><p> end magic_arc;</p><p> B.模塊RIGHT(見(jiàn)電路圖)。</p><p> 此模塊的功能是當(dāng)左轉(zhuǎn)時(shí)控制左邊的 3個(gè)燈,當(dāng)左右轉(zhuǎn)信號(hào)都有效時(shí),輸出全為“1”。</p><p> library ieee;</p>
11、<p> use ieee.std_logic_1164.all;</p><p> entity right</p><p><b> is </b></p><p> port (en, clk,lr: in std_logic ;</p><p> r2,r1, ro: out std_lo
12、gic);</p><p> end right;</p><p> architecture right_arc of right is</p><p><b> begin </b></p><p> process (clk , en , lr)</p><p> variable
13、 tmp : std_logic_vector(2 doento 0);</p><p><b> begin </b></p><p> if lr='1' then </p><p> tmp :="111";</p><p> elsif en='0' t
14、hen </p><p> tmp :="000";</p><p> elsif clk'event and clk ='1' then </p><p> if tmp="000" then </p><p> tmp:="100";</p&
15、gt;<p><b> else </b></p><p> tmp:='0'&tmp(2 downto 1);</p><p><b> end if;</b></p><p><b> end if;</b></p><p>
16、 r2<=tmp(2);</p><p> r1<=tmp(1);</p><p> ro<=tmp(0);</p><p> end process;</p><p> end right_arc</p><p> C、模塊LEFT(見(jiàn)電路圖)。</p><p>
17、 此模塊的功能是控制右邊的3個(gè)燈,與模塊LEFT類(lèi)似。</p><p> library ieee;</p><p> use ieee.std_logic_1164.all;</p><p> entity left is </p><p> port (en ,clk,lr: in std_logic;</p>&l
18、t;p> l1,l2,l0:out std_logic);</p><p> end left ;</p><p> architecture left_arc of left is</p><p><b> begin </b></p><p> process(en,clk,lr)</p>
19、<p> variable tmp:std_logic_vector(2 downto 0);</p><p><b> begin </b></p><p> if lr='1'then </p><p> tmp:="111";</p><p> elsif
20、 en='0'then </p><p> tmp:="000";</p><p> elsif clk'event and clk='1'then </p><p> if tmp="000"then </p><p> tmp:= "001&
21、quot;;</p><p><b> else </b></p><p> tmp :=tmp(1 downto 0)&'0';</p><p><b> end if ;</b></p><p><b> end if;</b></p&
22、gt;<p> l2<=tmp(2);</p><p> l1<=tmp(1);</p><p> l0<=tmp(0);</p><p> end process ;</p><p> end left_arc; </p><p> 三、實(shí)驗(yàn)中遇到的問(wèn)題&l
23、t;/p><p> 短學(xué)期的課程要求我們學(xué)習(xí)、掌握并運(yùn)用MAX PLUS2和PROTEL99SE等軟件。剛開(kāi)始接觸,感覺(jué)還很是陌生,對(duì)于要做的兩個(gè)課題設(shè)計(jì)完全沒(méi)有頭緒,而且用VHDL語(yǔ)言實(shí)現(xiàn)硬件的編輯,是難點(diǎn)中的難點(diǎn)。后來(lái)我們廣泛查閱關(guān)于與它們用法方面的相關(guān)資料,也逐步對(duì)這些實(shí)用的軟件有了一個(gè)初步的認(rèn)識(shí)和掌握,還動(dòng)手設(shè)計(jì)了汽車(chē)尾燈控制方案,下面是我們?cè)谠O(shè)計(jì)中遇到的問(wèn)題:</p><p>&l
24、t;b> 元件的編輯</b></p><p> 開(kāi)始設(shè)計(jì)了多種方案,但有些元器件MAX PLUS里難以找到,因?yàn)樗锩孀詭У钠骷⒉欢?,大部分還是要由使用者自己編輯生成相應(yīng)功能的器件,而在用VHDL語(yǔ)言編輯時(shí),遇到很多問(wèn)題,使我們不得不放棄一些設(shè)計(jì),后來(lái)使用了學(xué)習(xí)過(guò)并且大眾化的元件,這一問(wèn)題才得到解決</p><p><b> 波形的仿真</b>
25、;</p><p> 在GDF文件編譯正確后,我們對(duì)其進(jìn)行波形仿真,但軟件提示:找不到SC文件,后來(lái)才得知,在運(yùn)行之前要重新保存SCF文件才有效。這算是慢慢摸索探究的過(guò)程中得到的經(jīng)驗(yàn)教訓(xùn)。</p><p><b> 硬件的模擬</b></p><p> MAX編輯的電路圖必須下載到我們手上的片子上,實(shí)現(xiàn)硬件的模擬。這個(gè)步驟遇到的問(wèn)題在于,
26、我們的波形模擬得到的結(jié)果是很正確的,但是下載到片子上后,得到的結(jié)果卻差強(qiáng)人意,通過(guò)不斷的嘗試與改進(jìn),相應(yīng)的問(wèn)題最終得到了相應(yīng)的解決。</p><p> 四、 課題總結(jié)與感想</p><p> 經(jīng)過(guò)這個(gè)短學(xué)期的學(xué)習(xí),我們成功地完成了設(shè)計(jì)的課題,基本上達(dá)到了學(xué)習(xí)的要求,在這個(gè)過(guò)程中,我們充分地感受到了團(tuán)隊(duì)合作精神的重要性,大家互相學(xué)習(xí),集思廣益,解決了設(shè)計(jì)中遇到的很多問(wèn)題,同時(shí)也深刻的感受
27、到學(xué)以致用的快樂(lè)與實(shí)在。我們學(xué)習(xí)的最終目的還是要將其運(yùn)用到實(shí)際當(dāng)中,解決實(shí)際的問(wèn)題,這樣,知識(shí)的價(jià)值才得以體現(xiàn)。</p><p> 還有就是我們深刻的感受到了互聯(lián)網(wǎng)給我們帶來(lái)的方便快捷與幫助,那幾乎是一本百科全書(shū),我們遇到的一些問(wèn)題都可以從中得到解答。這大大節(jié)省了我們的時(shí)間與精力。使我們更加全身心的投入到設(shè)計(jì)思想里面去。</p><p><b> 參考文獻(xiàn):</b>
28、;</p><p> 1、顏永軍 李梅著 PROTEL99電路設(shè)計(jì)與應(yīng)用 。北京:國(guó)防工業(yè)出版社 2001年 </p><p> 2、王振紅著 數(shù)字電路設(shè)計(jì)與應(yīng)用實(shí)踐教程 。機(jī)械工業(yè)出版社 2003年</p><p> 3、馮濤 王程著 可編程邏輯器件開(kāi)發(fā)技術(shù)MAX PLUS入門(mén)與提高</p
溫馨提示
- 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
- 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶(hù)所有。
- 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
- 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
- 5. 眾賞文庫(kù)僅提供信息存儲(chǔ)空間,僅對(duì)用戶(hù)上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶(hù)上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
- 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
- 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶(hù)因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。
最新文檔
- 汽車(chē)尾燈控制課程設(shè)計(jì)
- 汽車(chē)尾燈控制課程設(shè)計(jì)
- 汽車(chē)尾燈課程設(shè)計(jì)
- 汽車(chē)尾燈課程設(shè)計(jì)
- 汽車(chē)尾燈課程設(shè)計(jì)
- 汽車(chē)尾燈課程設(shè)計(jì)
- 汽車(chē)尾燈課程設(shè)計(jì)
- 汽車(chē)尾燈課程設(shè)計(jì)---汽車(chē)尾燈控制器的設(shè)計(jì)與制作
- 汽車(chē)尾燈數(shù)字電子課程設(shè)計(jì)報(bào)告
- 數(shù)字邏輯課程設(shè)計(jì)----汽車(chē)尾燈控制電路
- 汽車(chē)尾燈課程設(shè)計(jì)報(bào)告
- 汽車(chē)尾燈控制電路課程設(shè)計(jì)
- 汽車(chē)尾燈控制電路課程設(shè)計(jì)
- 課程設(shè)計(jì)---汽車(chē)尾燈控制器
- 課程設(shè)計(jì)--汽車(chē)車(chē)尾燈
- 汽車(chē)尾燈課程設(shè)計(jì)vhdl
- protel課程設(shè)計(jì) 汽車(chē)尾燈
- eda汽車(chē)尾燈控制課程設(shè)計(jì)報(bào)告
- 課程設(shè)計(jì)---汽車(chē)尾燈控制器
- 汽車(chē)尾燈控制電路課程設(shè)計(jì)
評(píng)論
0/150
提交評(píng)論