2023年全國碩士研究生考試考研英語一試題真題(含答案詳解+作文范文)_第1頁
已閱讀1頁,還剩44頁未讀, 繼續(xù)免費閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進(jìn)行舉報或認(rèn)領(lǐng)

文檔簡介

1、<p><b>  數(shù)字系統(tǒng)課程設(shè)計</b></p><p>  班 級 13級信息過程1班 </p><p>  組 長 麥銳杰 </p><p>  組 員 劉清斌、方加鵬 </p><p><b>  題目要求</b&

2、gt;</p><p>  基于FPGA和ZigBee的遠(yuǎn)程溫度檢測系統(tǒng):終端進(jìn)行環(huán)境溫度的檢測,數(shù)據(jù)返回至控制端 ,實時顯示檢測到的溫度。若溫度超過閥值,LED燈閃爍報警。</p><p><b>  設(shè)計方案</b></p><p>  系統(tǒng)整體思路:溫度傳感器檢測獲取溫度信息,通過ZigBee協(xié)議與FPGA控制板進(jìn)行數(shù)據(jù)傳輸。</p

3、><p><b>  圖1 系統(tǒng)整體框圖</b></p><p><b>  模塊實現(xiàn)</b></p><p>  ZigBee模塊實現(xiàn)</p><p><b>  zigbee介紹:</b></p><p>  Zigbee是基于IEEE802.15.4標(biāo)

4、準(zhǔn)的低功耗局域網(wǎng)協(xié)議,又稱為紫蜂協(xié)議,其特點是近距離、低復(fù)雜度、自組織、低功耗、低數(shù)據(jù)速率。Zigbee協(xié)議從下到上分別分為物理層PHY、媒體訪問控制層MAC、傳輸層TL、網(wǎng)絡(luò)層NWK與應(yīng)用層APL。</p><p>  本課程設(shè)計中zigbee模塊采用的是TI公司生產(chǎn)的CC2530F256芯片,符合2.4G_Hz IEEE802.15.4應(yīng)用。協(xié)議棧使用的是TI公司搭建的Zstack,這是當(dāng)前業(yè)界領(lǐng)先、應(yīng)用面很

5、廣的協(xié)議棧,結(jié)合OSAL操作系統(tǒng),使得用戶在搭建系統(tǒng)、進(jìn)行多任務(wù)分配方面有很大的便利性。其他協(xié)議棧還有freakz、msstatePan等。</p><p>  DS18B20溫度傳感器:</p><p>  DS18B20是當(dāng)前市場主要的溫度傳感器之一,主要特性有以下幾點:</p><p>  適應(yīng)電壓范圍廣,電壓范圍在3.0V~5.5V,是多數(shù)單片機(jī)的供電電壓,

6、因此大多數(shù)在單片機(jī)上使用。</p><p>  它具有一線總線的特點,在于微處理器連接時僅需要一條口線即可實現(xiàn)微處理器與DS18B20之間的雙向通訊。</p><p>  DS18B20在使用中不需要任何外圍元件,全部傳感元件及轉(zhuǎn)換電路集成在形如一只三極管的集成電路類。</p><p>  溫度測量范圍在-55℃ ~ 125℃,精度約為±0.5℃。<

7、/p><p>  DS18B20溫度采集部分:</p><p>  定義DS18B20在CC2530上的輸入腳為P0.7:P0DIR &= 0x7f;</p><p>  溫度讀取主函數(shù)如下:</p><p>  unsigned char ReadDs18B20(void) </p><p><b> 

8、 {</b></p><p>  unsigned char V1,V2; //定義高低8位 緩沖</p><p>  unsigned char temp; //定義溫度緩沖寄存器</p><p>  Ds18b20Initial();</p><p>  Ds18b20Write(0xcc); // 跳過讀序號

9、列號的操作</p><p>  Ds18b20Write(0x44); // 啟動溫度轉(zhuǎn)換</p><p>  Ds18b20Initial();</p><p>  Ds18b20Write(0xcc); //跳過讀序號列號的操作 </p><p>  Ds18b20Write(0xbe); </p><

10、p>  //讀取溫度寄存器等(共可讀9個寄存器) 前兩個就是溫度</p><p>  V1 = Ds18b20Read(); //低位</p><p>  V2 = Ds18b20Read(); //高位</p><p>  temp = ((V1 >> 4)+((V2 & 0x07)*16)); //轉(zhuǎn)換數(shù)據(jù) </p&g

11、t;<p>  return temp;</p><p><b>  }</b></p><p>  我們從DS1B20讀取到用字符串表示的溫度值temp,將其送入cc2530模塊準(zhǔn)備進(jìn)行發(fā)送。</p><p>  Zigbee發(fā)送部分:</p><p>  Zigbee工作模式選?。?lt;/p>

12、<p>  采用點播方式,給zigbee協(xié)調(diào)器定義短地址為0x0000:</p><p>  SampleApp_P2P_DstAddr.addr.shortAddr = 0x0000; //協(xié)調(diào)器短地址</p><p>  給zigbee終端定義短地址為0xFFFF:</p><p>  SampleApp_Periodic_DstAddr.addr.

13、shortAddr = 0xFFFF;//終端短地址</p><p><b>  串口模式修改:</b></p><p>  我們在FPGA開發(fā)板設(shè)計的串口規(guī)格是:9600波特率、8位數(shù)據(jù)位、1位停止位、無奇偶校驗位。因此zigbee的串口規(guī)格應(yīng)該也改為與其相同,因此在stack的串口定義函數(shù)MT_UART.c進(jìn)行相應(yīng)的修改:</p><p>

14、  #define MT_UART_DEFAULT_BAUDRATE HAL_UART_BR_9600//波特率定為9600.</p><p>  uartConfig.callBackFunc = NULL;//關(guān)閉zigbee串口的回調(diào)。</p><p>  zigbee終端與協(xié)調(diào)器網(wǎng)絡(luò)搭建過程:</p><p>  圖2 ZigBee

15、終端與協(xié)調(diào)器網(wǎng)絡(luò)搭建過程</p><p><b>  終端數(shù)據(jù)發(fā)送過程:</b></p><p>  當(dāng)zigbee終端模塊從DS18B20接收到數(shù)據(jù)時,便開始發(fā)送模塊,觸發(fā)數(shù)據(jù)發(fā)送任務(wù)。</p><p><b>  點對點發(fā)送函數(shù):</b></p><p>  Void SampleApp_Sen

16、d_P2P_Message( void )</p><p><b>  {</b></p><p>  ``````````</p><p>  if ( AF_DataRequest( &SampleApp_P2P_DstAddr, &SampleApp_epDesc,</p><p>  SAMPLE

17、APP_P2P_CLUSTERID,</p><p><b>  1,</b></p><p><b>  str,</b></p><p>  &SampleApp_TransID,</p><p>  AF_DISCV_ROUTE,</p><p>  AF_DE

18、FAULT_RADIUS ) == afStatus_SUCCESS )</p><p><b>  {</b></p><p><b>  }</b></p><p><b>  else</b></p><p><b>  {</b></p>

19、;<p>  // Error occurred in request to send.</p><p><b>  }</b></p><p><b>  }</b></p><p>  AF_DataRequest中DstAddr、epDesc為目標(biāo)地址的屬性,SAMPLEAPP_P2P_CLUSTERI

20、D為發(fā)送任務(wù)的ID,觸發(fā)此ID則進(jìn)行發(fā)送命令。</p><p>  str為我們要發(fā)送的數(shù)據(jù),我們在此設(shè)計中發(fā)送的是八位二進(jìn)制數(shù)的溫度,因此長度設(shè)定為1,str存放的是溫度值。</p><p>  圖3 溫度數(shù)據(jù)發(fā)送框圖</p><p>  實驗過程中,設(shè)置數(shù)據(jù)發(fā)送的周期SAMPLEAPP_SEND_PERIODIC_MSG_TIMEOUT為300ms,即0.3秒更

21、新一次溫度值。</p><p>  ZigBee與FPGA的物理連接</p><p>  zigbee底板通過PL2303芯片與電腦串口進(jìn)行連接,PL2303芯片是將數(shù)字電平信號進(jìn)行打包,轉(zhuǎn)化成usb協(xié)議包,由電腦進(jìn)行解包。</p><p>  而FPGA底板則是通過MAX232進(jìn)行連接,max232直接將數(shù)字信號轉(zhuǎn)化為RS232模擬電平。因此無法通過USB線將zi

22、gbee模塊與FPGA開發(fā)板直接相連</p><p>  解決辦法是在zigbee底板拉出異步傳輸線TX與RX,直接與cycloneii芯片上的RX和TX對應(yīng)連接。</p><p>  基于FPGA的串行接口模塊</p><p><b>  模塊概述</b></p><p>  該串行接口電路用于實現(xiàn)cc2530F256

23、芯片與FPGA的通信,把收到的串行數(shù)據(jù)轉(zhuǎn)換成并行數(shù)據(jù)進(jìn)行輸出。由于FPGA只需接收數(shù)據(jù),不需要向cc2530F256芯片發(fā)送數(shù)據(jù),故該接口只由波特率發(fā)生器和接收模塊組成。</p><p><b>  波特率發(fā)生器</b></p><p>  波特率發(fā)生器即分頻器。</p><p>  clk:FPGA提供的系統(tǒng)時鐘接入</p>&

24、lt;p><b>  resetb:復(fù)位</b></p><p>  bclk:時鐘信號輸出,接收模塊的時鐘輸入</p><p>  波特率發(fā)生器的VHDL代碼:</p><p>  LIBRARY IEEE;</p><p>  USE IEEE.STD_LOGIC_1164.ALL;</p>&l

25、t;p>  USE IEEE.STD_LOGIC_ARITH.ALL;</p><p>  USE IEEE.STD_LOGIC_UNSIGNED.ALL;</p><p>  entity baud is</p><p>  Port (clk,resetb:in std_logic;</p><p>  bclk:out std_l

26、ogic);</p><p><b>  end baud;</b></p><p>  architecture behave of baud is</p><p><b>  begin</b></p><p>  process(clk,resetb)</p><p>

27、  variable cnt:integer;</p><p><b>  begin</b></p><p>  if resetb='1' then </p><p><b>  cnt:=0; </b></p><p>  bclk<='0';

28、 --復(fù)位</p><p>  elsif rising_edge(clk) then</p><p>  if cnt>=330 then</p><p><b>  cnt:=0; </b></p><p>  bclk<='1'; --設(shè)置分頻系數(shù)</p

29、><p><b>  else</b></p><p>  cnt:=cnt+1;</p><p>  bclk<='0';</p><p><b>  end if;</b></p><p><b>  end if;</b><

30、/p><p>  end process;</p><p>  end behave;</p><p><b>  接收模塊</b></p><p>  接收模塊的作用是把收到的串行數(shù)據(jù)轉(zhuǎn)換成并行數(shù)據(jù)進(jìn)行輸出</p><p><b>  圖5 接收器引腳圖</b></p&g

31、t;<p>  resetr(輸入):復(fù)位信號;</p><p>  bclkr(輸入):輸入時鐘;</p><p>  rxdr(輸入):串行數(shù)據(jù)輸入信號;</p><p>  rbuf[7..0](輸出):并行數(shù)據(jù)輸出總線;</p><p>  r_ready(輸出):數(shù)據(jù)接收完畢信號。</p><p&g

32、t;  串行接收模塊框圖如圖6,程序在檢測到起始位后(rxdr由‘1’跳變到‘0’),計數(shù)連續(xù)8個接收時鐘采樣仍然是低電平后,開始接收串行數(shù)據(jù),當(dāng)采樣計數(shù)器計數(shù)結(jié)束后,所以數(shù)據(jù)已移位輸入RSR。最后對高電平進(jìn)行檢測,檢測到正確的停止位后說明本幀數(shù)據(jù)接收完畢。將數(shù)據(jù)轉(zhuǎn)存在數(shù)據(jù)寄存器RBR中,串/并轉(zhuǎn)換后,輸出8位并行數(shù)據(jù)rbuf[7..0]。其中,RBR的狀態(tài)可通過引腳r_ready來表示。r_ready輸出高電平‘1’表示數(shù)據(jù)接收有效。

33、</p><p>  圖6 接收模塊工作框圖</p><p><b>  接收模塊狀態(tài)機(jī)</b></p><p>  接收狀態(tài)機(jī)一共有5個狀態(tài):等待起始位R_START、求中點R_CENTER、等待采樣R_WAIT、采樣R_SAMPLE、停止位接收R_STOP。</p><p>  圖7 接收器狀態(tài)機(jī)ASM圖</p

34、><p>  接收模塊VHDL代碼:</p><p>  LIBRARY IEEE;</p><p>  USE IEEE.STD_LOGIC_1164.ALL;</p><p>  USE IEEE.STD_LOGIC_ARITH.ALL;</p><p>  USE IEEE.STD_LOGIC_UNSIGNED.AL

35、L;</p><p>  entity reciever is</p><p>  Generic(framlenr: integer :=8);</p><p><b>  port(</b></p><p>  rxdr: in std_logic;</p><p>  bclkr: in s

36、td_logic;</p><p>  resetr: in std_logic;</p><p>  r_ready:out std_logic;</p><p>  rbuf:out std_logic_vector(7 downto 0)</p><p><b>  );</b></p><p&

37、gt;  end entity;</p><p>  architecture Behavioral of reciever is</p><p>  type states is (r_start,r_center,r_wait,r_sample,r_stop);--定義各子狀態(tài)</p><p>  signal state:states:=r_start;<

38、;/p><p>  signal rxd_sync:std_logic;</p><p><b>  begin</b></p><p>  pro1:process(rxdr)</p><p><b>  begin</b></p><p>  if rxdr='0&#

39、39; then rxd_sync<='0';</p><p>  else rxd_sync<='1';</p><p><b>  end if;</b></p><p>  end process;</p><p>  pro2:process(bclkr,resetr,

40、rxd_sync) --主控時序、組合進(jìn)程</p><p>  variable count:std_logic_vector(3 downto 0); --定義中間變量</p><p>  variable rcnt:integer:=0;</p><p>  variable rbufs:std_logic_vector(7 d

41、ownto 0);</p><p><b>  begin</b></p><p>  if resetr='1' then state<=r_start; count:="0000"; --復(fù)位</p><p>  elsif rising_edge(bclkr) then</p>

42、<p>  case state is</p><p>  when r_start=> --狀態(tài)1,等待起始位</p><p>  if rxd_sync='0' then </p><p>  state<=r_center; </p><p>  r_ready

43、<='0'; </p><p><b>  rcnt:=0;</b></p><p><b>  else </b></p><p>  state<=r_start; </p><p>  r_ready<='0';</p><

44、p>  end if; </p><p>  when r_center=> --狀態(tài)2,求出每位的中點</p><p>  if rxd_sync='0' then</p><p>  if count="0100" then <

45、;/p><p>  state<=r_wait; count:="0000";</p><p><b>  else </b></p><p>  count:=count+1; </p><p>  state<=r_center;</p><p><b>

46、  end if;</b></p><p><b>  else </b></p><p>  state<=r_start;</p><p>  end if; </p><p>  when r_wait=>

47、 --狀態(tài)3,等待狀態(tài)</p><p>  if count>="1110" then </p><p>  count:="0000"; </p><p>  if rcnt=framlenr then </p><p>  state<=r_stop;</p>

48、<p><b>  else </b></p><p>  state<=r_sample;</p><p><b>  end if;</b></p><p><b>  else </b></p><p>  count:=count+1; </

49、p><p>  state<=r_wait;</p><p><b>  end if;</b></p><p>  when r_sample=> --狀態(tài)4,數(shù)據(jù)位采樣檢測</p><p>  rbufs(rcnt):=rxd_sync; </p><p>  rcnt:=r

50、cnt+1;</p><p>  state<=r_wait; </p><p>  when r_stop=> --狀態(tài)5,輸出幀接收完畢信號</p><p>  r_ready<='1'; </p><p>  rbuf<=rbufs; --并行輸出</p><p&g

51、t;  state<=r_start; </p><p>  when others=>state<=r_start;</p><p><b>  end case;</b></p><p><b>  end if;</b></p><p>  end process;</

52、p><p>  end Behavioral;</p><p><b>  數(shù)碼管顯示模塊</b></p><p>  圖8 數(shù)碼管顯示模塊引腳圖</p><p>  chuankou_in[7..0]:并行輸入8位數(shù)據(jù)</p><p>  led1:連接led燈,當(dāng)溫度超過閥值(設(shè)置為30攝氏度)時

53、點亮</p><p>  shcp:數(shù)據(jù)傳輸控制,上升沿到來時ser_data向數(shù)碼管發(fā)送一位數(shù)據(jù)</p><p><b>  stcp:</b></p><p>  ser_data:串行發(fā)送chuankou_in[7.00]輸入的數(shù)據(jù)</p><p>  ser_data向數(shù)碼管發(fā)送16位數(shù)據(jù),高8位是數(shù)碼管選通段,

54、低8位控制數(shù)碼管顯示的數(shù)字。</p><p><b>  數(shù)碼管顯示模塊代碼</b></p><p>  library ieee;</p><p>  use ieee.std_logic_1164.all;</p><p>  use ieee.std_logic_arith;</p><p>

55、;  use ieee.std_logic_unsigned.all;</p><p>  entity shumaguan is</p><p>  port(clk:in std_logic;</p><p>  chuankou_in:in std_logic_vector(7 downto 0);</p><p>  led1:out

56、 std_logic; -- temperature>30 then light led1</p><p>  stcp:out std_logic;</p><p>  shcp:out std_logic;</p><p>  ser_data: out std_logic);</p><p>  end entity;</p

57、><p>  architecture behav of shumaguan is </p><p>  signal score11:std_logic_vector(7 downto 0);</p><p>  signal score12:std_logic_vector(7 downto 0);</p><p>  signal h:in

58、teger range 1 to 17:=1;</p><p>  signal m:integer range 0 to 1:=0;--four tube</p><p>  signal n:integer range 0 to 2:=0;</p><p>  signal data:std_logic_vector(15 downto 0);</p>

59、;<p>  signal cnt1:integer range 0 to 3:=0;</p><p>  signal choose:std_logic_vector(7 downto 0);</p><p>  signal temp:integer;</p><p>  signal shiwei:integer;</p><

60、p>  signal gewei:integer;</p><p><b>  begin</b></p><p>  -----------------數(shù)碼管譯碼模塊------------------------------ </p><p>  process(clk)</p><p><b>  

61、begin</b></p><p>  if(rising_edge(clk))then</p><p>  choose<=chuankou_in;</p><p>  temp<=conv_integer(choose);--溫度值</p><p>  if(temp>30)then</p>&

62、lt;p>  led1<='1';</p><p><b>  end if;</b></p><p>  shiwei<= temp / 10;</p><p>  gewei<= temp rem 10;</p><p>  case shiwei is</p>

63、<p>  when 0=>score11<="00000011";----數(shù)碼管顯示0 </p><p>  when 1=>score11<="10011111";----數(shù)碼管顯示1</p><p>  when 2=>score11<="00100101";----數(shù)碼管顯示

64、2 </p><p>  when 3=>score11<="00001101";----數(shù)碼管顯示3 </p><p>  when 4=>score11<="10011001";----數(shù)碼管顯示4 </p><p>  when 5=>score11<="01001

65、001";----數(shù)碼管顯示5 </p><p>  when 6=>score11<="01000001";----數(shù)碼管顯示6 </p><p>  when 7=>score11<="00011111";----數(shù)碼管顯示7 </p><p>  when 8=>score

66、11<="00000001";----數(shù)碼管顯示8 </p><p>  when 9=>score11<="00001001";----數(shù)碼管顯示9 </p><p>  when 10=>score11<="00010001";---A</p><p>  when

67、11=>score11<="00000000";----B.</p><p>  when 12=>score11<="01100011";----C</p><p>  when 13=>score11<="00000010";----D.</p><p>  when

68、 14=>score11<="01100001";----E</p><p>  when 15=>score11<="01110001";----F</p><p>  when others=>score11<="00000000";----數(shù)碼管顯示all</p><p

69、><b>  end case;</b></p><p>  case gewei is</p><p>  when 0=>score12<="00000011";----數(shù)碼管顯示0 </p><p>  when 1=>score12<="10011111";----

70、數(shù)碼管顯示1</p><p>  when 2=>score12<="00100101";----數(shù)碼管顯示2 </p><p>  when 3=>score12<="00001101";----數(shù)碼管顯示3 </p><p>  when 4=>score12<="10

71、011001";----數(shù)碼管顯示4 </p><p>  when 5=>score12<="01001001";----數(shù)碼管顯示5 </p><p>  when 6=>score12<="01000001";----數(shù)碼管顯示6 </p><p>  when 7=>sc

72、ore12<="00011111";----數(shù)碼管顯示7 </p><p>  when 8=>score12<="00000001";----數(shù)碼管顯示8 </p><p>  when 9=>score12<="00001001";----數(shù)碼管顯示9 </p><p&

73、gt;  when 10=>score11<="00010001";---A</p><p>  when 11=>score11<="00000000";----B.</p><p>  when 12=>score11<="01100011";----C</p><p&g

74、t;  when 13=>score11<="00000010";----D.</p><p>  when 14=>score11<="01100001";----E</p><p>  when 15=>score11<="01110001";----F</p><p&g

75、t;  when others=>score12<="00000000";----數(shù)碼管顯示all</p><p><b>  end case;</b></p><p><b>  end if;</b></p><p>  end process;</p><p>

76、;  ---------------數(shù)碼管動態(tài)顯示模塊-------------------------------- </p><p>  process(clk,score11,score12) </p><p><b>  begin </b></p><p>  if(rising_edge(clk)) then </p&g

77、t;<p>  case m is --m從0到1分別表示選通第1到2個數(shù)碼管 </p><p>  when 0 => </p><p>  if (n = 0) then </p><p>  data(15 downto 8)<="11111101";--data的高8位是數(shù)碼管選通段,選通第三個

78、數(shù)碼管 </p><p>  data(7 downto 0)<=score11(7 downto 0);--data的低8位是記錄比分 </p><p>  n <= n + 1;</p><p>  elsif (n=1) then </p><p>  stcp<='0'; --stcp是

79、并行輸出時鐘</p><p>  shcp<='0'; --shcp是移位時鐘 </p><p>  ser_data<=data(0); </p><p>  n<=n+1; </p><p>  else </p><p>  shcp<=&#

80、39;1'; --移位時鐘上升沿到了,則輸入一個數(shù)據(jù) </p><p>  data<=data(0)&data(15 downto 1);--data右移一位 </p><p>  if h=16 then --h是移位次數(shù) </p><p>  h <= h + 1;

81、 </p><p>  elsif h = 17 then </p><p>  stcp<='1'; --并行輸出時鐘上升沿到了,把16位數(shù)據(jù)導(dǎo)入完畢 </p><p>  cnt1 <= cnt1 + 1; </p><p>  if cnt1 = 3 then

82、 </p><p>  m<=m+1; </p><p>  cnt1 <= 0; </p><p>  n <= 0; </p><p>  h <= 1; </p><p>  end if; </p><p>  el

83、se </p><p>  n<=n-1; </p><p>  h<=h+1; </p><p>  stcp<='0'; </p><p>  end if; </p><p>  end if; </p><p&g

84、t;  when 1 => </p><p>  if n = 0 then </p><p>  data(15 downto 8)<="11111110";--data的高8位是數(shù)碼管選通段,選通第4個數(shù)碼管 </p><p>  data(7 downto 0)<=score12(7 downto 0);-

85、-data的低8位是記錄比分 </p><p>  n <= n + 1; </p><p>  elsif n=1 then </p><p>  stcp<='0';--stcp是并行輸出時鐘 </p><p>  shcp<='0';--shcp是移位時鐘

86、</p><p>  ser_data<=data(0);</p><p>  n<=n+1; </p><p>  else </p><p>  shcp<='1'; </p><p>  data<=data(0)&data(15

87、 downto 1);--data右移一位 </p><p>  if h=16 then --h是移位次數(shù)</p><p>  h <= h + 1; </p><p>  elsif h = 17 then </p><p>  stcp<='1';

88、</p><p>  cnt1 <= cnt1 + 1; </p><p>  if cnt1 = 3 then </p><p>  m<=0; --m<=m+1;</p><p>  cnt1 <= 0; </p><p>  n <= 0;

89、 </p><p>  h <= 1; </p><p>  end if; </p><p>  else </p><p>  n<=n-1; </p><p>  h<=h+1; </p><p>  stcp<='

90、0'; </p><p><b>  end if; </b></p><p>  end if; </p><p>  end case; </p><p><b>  end if; </b></p><p>  end process;<

91、;/p><p><b>  仿真分析</b></p><p><b>  波特率發(fā)生器仿真:</b></p><p>  系統(tǒng)時鐘周期設(shè)為20ns,分頻系數(shù)設(shè)為10,得到的仿真結(jié)果如圖9。</p><p>  圖9 波特率發(fā)生器仿真波形圖</p><p>  由仿真波形圖可以得到

92、,每過十個時鐘周期就輸出一個完整的波特率周期,仿真結(jié)果與設(shè)計預(yù)期相符合</p><p><b>  接收模塊仿真</b></p><p><b>  實物展示</b></p><p>  附件1: 大學(xué)本科畢業(yè)論文(設(shè)計)工作程序</p><p>  注:1.提前或推延進(jìn)行畢業(yè)論文(設(shè)計)的

93、,各階段要求相同,日程自定;</p><p>  2.畢業(yè)論文(設(shè)計)工作三個階段時間安排,可根據(jù)各專業(yè)特點適當(dāng)調(diào)整。 </p><p>  附件2: 大學(xué)本科畢業(yè)論文(設(shè)計)撰寫規(guī)范</p><p>  一、畢業(yè)論文(設(shè)計)文本結(jié)構(gòu)</p><p>  畢

94、業(yè)論文(設(shè)計)主要由8個部分組成:①封面;②目錄;③題目;④中外文摘要;⑤正文;⑥參考文獻(xiàn);⑦謝辭;⑧附錄。</p><p>  二、畢業(yè)論文(設(shè)計)各部分規(guī)范</p><p><b>  1. 封面</b></p><p>  封面按學(xué)校規(guī)定的格式填寫,包括論文(設(shè)計)題目、作者姓名、指導(dǎo)教師姓名、學(xué)科專業(yè)等內(nèi)容。</p>&l

95、t;p><b>  2. 目錄</b></p><p>  目錄由畢業(yè)論文(設(shè)計)各部分內(nèi)容的順序號、名稱和頁碼組成,目次中的內(nèi)容一般列出二級標(biāo)題即可。目錄應(yīng)該用“…………”連接名稱與頁碼。</p><p><b>  3. 題目</b></p><p>  論文(設(shè)計)題目要恰當(dāng)、簡明、凝練,能夠反映論文的主題及其

96、內(nèi)容,做到文、題貼切。題目中不使用非規(guī)范的縮略詞、符號、代號和公式,通常不采用問話的方式。題目所使用的詞語應(yīng)當(dāng)考慮到有助于選擇關(guān)鍵詞和編制題錄、索引等。</p><p>  題目的中文字?jǐn)?shù)一般不超過20個字,外文題目不超過10個實詞,中外文標(biāo)題應(yīng)一致,居中編排格式。</p><p>  4. 中外文摘要及關(guān)鍵詞  摘要是對畢業(yè)論文(設(shè)計)內(nèi)容不加注釋和評論的簡短陳述。摘要主要是說明研究工

97、作的目的、方法、結(jié)果和結(jié)論。摘要應(yīng)具有獨立性和自含性,即不閱讀全文,就能獲得畢業(yè)論文(設(shè)計)必要的信息,使讀者確定有無必要閱讀全文。摘要中應(yīng)用第三人稱的方法記述論文的性質(zhì)和主題,不使用“本文”、“作者”等作為主語,應(yīng)采用“對…進(jìn)行了研究”、“報告了…現(xiàn)狀”、“進(jìn)行了…調(diào)查”等表達(dá)方式。排除在本學(xué)科領(lǐng)域已成為常識的內(nèi)容,不得重復(fù)題目中已有的信息。語句要合乎邏輯關(guān)系,盡量同正文的文體保持一致。結(jié)構(gòu)要嚴(yán)謹(jǐn),表達(dá)要簡明,語義要確切,一般不再分段

98、落。對某些縮略語、簡稱、代號等,除了相鄰專業(yè)的讀者也能清楚理解的以外,在首次出現(xiàn)處必須加以說明。摘要中通常不用圖表、化學(xué)結(jié)構(gòu)式以及非公知公用的符號和術(shù)語。</p><p>  畢業(yè)論文(設(shè)計)的摘要包含中文摘要和外文摘要。中文摘要字?jǐn)?shù)約為200~300字,外文摘要約為200~300個實詞。</p><p>  關(guān)鍵詞(Keywords)是為了文獻(xiàn)標(biāo)引,從《漢語主題詞表》或論文中選取出來,用

99、以表示全文主題內(nèi)容信息的詞語或術(shù)語。關(guān)鍵詞不宜用非通用的代號和分子式。</p><p>  關(guān)鍵詞一般為3~6個。關(guān)鍵詞的排序,通常應(yīng)按研究的對象、性質(zhì)(問題)和采取的手段排序。中文關(guān)鍵詞兩詞之間應(yīng)留出一個漢字的空間,不加任何標(biāo)點符號;外文關(guān)鍵詞之間用分號隔開。</p><p><b>  5. 正文</b></p><p>  畢業(yè)論文(設(shè)計

100、)的正文包括前言(引言)、正文、結(jié)論三個部分。外語類專業(yè)畢業(yè)生必須用所學(xué)專業(yè)外語撰寫。</p><p>  畢業(yè)論文(設(shè)計)的篇幅一般6000字左右。</p><p><b>  (1)前言(引言)</b></p><p>  前言(引言)主要說明研究工作的目的、范圍,對前人的研究狀況進(jìn)行評述分析,闡明研究設(shè)想、研究方法、實驗設(shè)計、預(yù)期結(jié)果、成

101、果的意義等。</p><p><b>  (2)正文</b></p><p>  正文是對研究工作與研究內(nèi)容的詳細(xì)表述,一般由標(biāo)題、文字、表格或公式等部分組成。該部分要運用選定的研究方法分析問題、論證觀點,盡量反映出研究能力和學(xué)術(shù)水平。正文是畢業(yè)論文(設(shè)計)的核心部分,占據(jù)主要篇幅。</p><p>  正文是論文的主體,要求觀點清晰、論點正確

102、、論據(jù)可靠、論證嚴(yán)密、層次清楚。</p><p>  正文中的圖表和計量單位要規(guī)范。圖須有序號、圖題、圖例、量和單位,圖序號須用阿拉伯?dāng)?shù)字,與圖題空 1 格,寫在圖下方;表格采用 3線表,表頭線和表尾線為粗黑線,表兩邊不要串寫文字,表序號須用阿拉伯?dāng)?shù)字,與標(biāo)題空 1格,寫在表上方;一律使用法定計量單位。</p><p><b>  (3)結(jié)論</b></p>

103、;<p>  結(jié)論是對整個研究工作進(jìn)行歸納和總結(jié)。結(jié)論應(yīng)當(dāng)準(zhǔn)確、完整、明確、精練。如不可能導(dǎo)出應(yīng)有的結(jié)論,也可以沒有結(jié)論而進(jìn)行必要的討論,闡述本課題研究中存在的問題及進(jìn)一步開展研究的建議。</p><p><b>  6. 謝辭(致謝)</b></p><p>  謝辭(致謝)是對給予各類資助、指導(dǎo)和協(xié)助完成科研工作,以及提供各種條件的單位和個人表示的感

104、謝。謝辭應(yīng)實事求是。</p><p><b>  7. 參考文獻(xiàn)</b></p><p>  文后參考文獻(xiàn),是論文的重要組成部分,按順序和規(guī)定的格式列在正文之后。所列出的文獻(xiàn),應(yīng)當(dāng)是作者親自閱讀或引用過的,出處要翔實,要進(jìn)行核實查對。所引用的文獻(xiàn)應(yīng)是公開出版的刊物或著作,內(nèi)部刊物一般不引用。</p><p>  正文中參考文獻(xiàn)的標(biāo)注方法,是在引

105、用文字(即所引用的詞組、句子、段落等)結(jié)束處的右上角標(biāo)出參考文獻(xiàn)序號。全文參考文獻(xiàn)的序號要按照從小到大的次序排列,某一文獻(xiàn)多次引用時,要用同一序號標(biāo)出。</p><p>  文后參考文獻(xiàn)的編寫方式,是依正文中參考文獻(xiàn)序號的次序排列所有的參考文獻(xiàn),且一個參考文獻(xiàn)只能出現(xiàn)一次。</p><p><b>  8. 附錄</b></p><p>  凡

106、不宜收入正文中而又有價值的內(nèi)容,可編入畢業(yè)論文的附錄中。附錄內(nèi)容主要包括:①正文中所使用公式的推導(dǎo)與證明過程;②使用的主要符號、單位、縮寫、程序全文及其說明等;③在正文中無法列出的實驗數(shù)據(jù);④重復(fù)性數(shù)據(jù)圖表;⑤調(diào)查問卷等。</p><p> ?。旑^空2行)目 錄(4號黑體,居中)</p><p>  摘要……………………………………………………………………………………1</p&g

107、t;<p>  關(guān)鍵詞…………………………………………………………………………………1</p><p>  Abstract………………………………………………………………………………1</p><p>  Key words……………………………………………………………………………1</p><p>  引言(或緒論)…………………………………………

108、……………………………1</p><p>  1□材料與方法………………………………………………………………………Y</p><p>  1.1□材料 ……………………………………………………………………………Y</p><p>  1.2□方法 ……………………………………………………………………………Y</p><p>  1.2.1□&

109、#215;××××…………………………………………………………………Y</p><p>  1.2.2□×××××…………………………………………………………………Y</p><p>  1.2.3□×××××………………………………………………………

110、…………Y</p><p>  1.2.4□×××××…………………………………………………………………Y</p><p>  2□××………………………………………………………………………………Y</p><p>  2.1□×××××……………

111、………………………………………………………Y</p><p>  3□×××…………………………………………………………………………… Y</p><p>  ……………………………………………………………(略)</p><p>  X ×××××(正文第X章)………………………………

112、…………………………Y</p><p>  致謝……………………………………………………………………………………Y</p><p>  參考文獻(xiàn)………………………………………………………………………………Y</p><p>  附錄A ××××(必要時)………………………………………………………Y</p>&l

113、t;p>  附錄B ××××(必要時)………………………………………………………Y</p><p>  圖1 ××××(必要時)…………………………………………………………Y</p><p>  圖2 ××××(必要時)……………………………………………………

114、……Y</p><p>  表1 ××××(必要時)……………………………………………………………Y</p><p>  表2 ××××(必要時)……………………………………………………………Y</p><p>  注:1. 目次中的內(nèi)容一般列出“章”、“節(jié)”、“條”三級標(biāo)題即可;<

115、;/p><p>  2.X、Y表示具體的阿拉伯?dāng)?shù)字;</p><p>  畢業(yè)論文(設(shè)計)題目(3號黑體)</p><p>  ××××專業(yè)學(xué)生 學(xué)生姓名</p><p>  指導(dǎo)教師 指導(dǎo)教師姓名(小四仿宋體)</p><p>  摘要:××

116、5;×××××××××××××××(200—300字,五號宋體)×××××××××××××××××……</p>

117、;<p>  關(guān)鍵詞:××× ×××× ××××× ×××(3-5個,五號宋體)</p><p>  Title(3號Times New Romar)</p><p>  Student majoring in ×

118、;××× Name</p><p>  Tutor Name(小四Times New Romar)</p><p>  Abstract: ××××××(五號Times New Romar,200—300個實詞)××××××&#

119、215;×××××××××××××××……</p><p>  Key words: ×××;××××;×××××;××

120、15;(3-5實詞個,五號Times New Romar)</p><p>  引言×××××(小四宋體)×××××××××××××××××××××

121、5;××××××××××××××××××××××××××××××××××××&#

122、215;×××××××××××××……。</p><p>  1 材料與方法 (仿宋體四號)</p><p>  1.1 ××××××××××(黑體小四號)&

123、lt;/p><p>  ××××××(小四宋體)××××××××××××××××××××××××××

124、××××××××××××××××××。</p><p>  1.1.1 ××(仿宋體小四號)×××× ×××××

125、15;××××××××××××××××××××(小四宋體)×××××××××××××××&

126、#215;××××××××××××××××××××。</p><p>  1.1.2 ××(仿宋體小四號)×××× ××

127、5;×××××××××××××××××××××××(小四宋體)××××××××××××&#

128、215;×××××××××××××××××××××××。</p><p>  1.2 ××××××××&

129、#215;×(黑體小四號)……</p><p>  2 結(jié)果與分析 (仿宋體四號)</p><p>  2.1 ××××××××××(黑體小四號)</p><p>  ××××××(小四宋體)&

130、#215;××××××××××××××××××××××××××××××××××××

131、;×××××××。</p><p>  2.1.1 ××(仿宋體小四號)×××× ××××××××××××××××&#

132、215;×××××××××(小四宋體)××××××××××××××××××××××××××

133、××××××××××。</p><p>  2.1.2 ××(仿宋體小四號)×××× ×××××××××××××

134、15;××××××××××××(小四宋體)×××××××××××××××××××××××&

135、#215;××××××××××××。</p><p>  2.2 ××××××××××(黑體小四號)……</p><p>  3 討論 (仿宋體四號)</p>

136、;<p>  3.1 ××××××××××(黑體小四號) </p><p>  ××××××(小四宋體)×××××××××××&#

137、215;××××××××××××××××××××××××××××××××。</p><p>  

138、3.2 ××××××××××(黑體小四號)……</p><p><b>  致謝</b></p><p>  ××××××(小四宋體)××××××&

139、#215;××××××××××××……</p><p><b>  參考文獻(xiàn):</b></p><p>  [1] 作者姓名,作者姓名.參考文獻(xiàn)題目[J]. 期刊或雜志等名稱,年份,卷(期數(shù)):頁碼.</p><p>  [2

140、] 劉凡豐. 美國研究型大學(xué)本科教育改革透視[J] . 高等教育研究,2003,5(1):18-19.</p><p>  沒有卷的就直接寫2003(1)(本條為期刊雜志著錄格式)</p><p>  [3] 譚丙煜.怎樣撰寫科學(xué)論文[M].2版.沈陽:遼寧人民出版社,1982:5-6.(本條為中文圖書著錄格式)</p><p>  [4] 作者姓名. 參考文獻(xiàn)題目

141、[D].南京:南京農(nóng)業(yè)大學(xué),2002:頁碼.(本條為碩士、博士論文著錄格式)</p><p>  [5] 作者姓名. 參考文獻(xiàn)題目[N].人民日報,2005-06-12.(本條為報紙著錄格式)</p><p>  [6] 作者姓名. 參考文獻(xiàn)題目[C]// 作者姓名.論文集名稱.城市:出版單位(社),年代:頁碼.(本條為論文集著錄格式)</p><p>  [7]

142、外國作者姓名. 參考文獻(xiàn)題目[M].譯者(名字),譯.城市:出版單位,年代:頁碼.(本條為原著翻譯中文的著錄格式,多個譯者可寫為:***,***,***,等譯.)</p><p>  外文文獻(xiàn)著錄格式參照中文的(五號Times New Romar)。</p><p>  注:由于網(wǎng)站資料權(quán)威性不確定,且目前中國期刊網(wǎng)和電子圖書資源很豐富,故網(wǎng)站資料不得作為畢業(yè)論文(設(shè)計)的參考文獻(xiàn)<

143、/p><p>  文獻(xiàn)類型標(biāo)志說明:普通圖書 M ,會議記錄C,匯編G,報紙N,期刊J,學(xué)位論文D,報告R,標(biāo)準(zhǔn)S,專利P,數(shù)據(jù)庫DB,計算機(jī)程序CP。</p><p>  論文中圖的具體要求為:</p><p> ?、?#160;主線粗于輔線(座標(biāo)線) </p><p> ?、?#160;圖題,小5黑(句末無標(biāo)點) 

144、0;</p><p> ?、?#160;標(biāo)值線(座標(biāo)上的刻度線)一律在圖的內(nèi)側(cè) </p><p>  ④ 圖例一律在圖題的上方或在圖中,6宋 </p><p>  ⑤ 圖注一律在圖題的下方,6宋 </p><p>  ⑥ 標(biāo)目(座標(biāo)的文字說明)及圖內(nèi)文字,6宋 </p

145、><p> ?、?#160;圖版(照片)說明在圖題之下,6宋,文字一般接排,如:A.麥穗形態(tài);B.花原基   </p><p>  論文中表格的具體要求為:</p><p> ?、?#160;表題:小5黑,居中(句末無標(biāo)點) </p><p><b> ?、?#160;表內(nèi)容:6宋 </b&

146、gt;</p><p> ?、?#160;數(shù)字一般以小數(shù)點位數(shù)對齊,數(shù)值后表示差異顯著性的字母右肩上標(biāo) </p><p> ?、?#160;表注:6宋,各注之間用“;”隔開</p><p> ?。旑^空2行)目 錄(4號黑體,居中)</p><p>  摘要…………………………………………………………………………………1</

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 眾賞文庫僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論