通信工程課程設(shè)計(jì)--具有四種信號(hào)燈的交通燈控制_第1頁
已閱讀1頁,還剩27頁未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡介

1、<p><b>  ******大學(xué)</b></p><p>  《EDA技術(shù)》課程設(shè)計(jì)報(bào)告</p><p>  設(shè)計(jì)題目:具有四種信號(hào)燈的交通燈控制器</p><p>  專業(yè)班級(jí): 通信工程 </p><p>  學(xué)生姓名: *******

2、 </p><p>  學(xué) 號(hào): ********* </p><p>  指導(dǎo)教師: ******* </p><p>  課程設(shè)計(jì)成績: </p><p>  完成日期:20**年**月**日</p><

3、p><b>  目 錄</b></p><p>  1 引言...........................................................................2</p><p>  1.1 設(shè)計(jì)背景......................................................

4、................2</p><p>  1.2 VHDL簡介......................................................................2</p><p>  1.3 QUARTUSⅡ簡介..............................................................

5、...5</p><p>  2 交通信號(hào)燈控制器的設(shè)計(jì).........................................................5</p><p>  2.1 設(shè)計(jì)目的......................................................................6</p><p>

6、;  2.2 系統(tǒng)計(jì)要求....................................................................6</p><p>  2.3 設(shè)計(jì)思路......................................................................7</p><p>  2.4 交通信號(hào)燈控制器系統(tǒng)

7、工作流程..................................................7</p><p>  3 交通燈控制器的實(shí)現(xiàn).............................................................8</p><p>  3.1 交通燈控制器的設(shè)計(jì)原型圖..............................

8、........................8</p><p>  3.2 系統(tǒng)各功能模塊的實(shí)現(xiàn)..........................................................9</p><p>  3.2.1 模塊shuomaguan..........................................................

9、....9</p><p>  3.2.2 模塊traffic.................................................................9</p><p>  3.3 原理圖........................................................................9</p&g

10、t;<p>  4 交通信號(hào)燈控制器系統(tǒng)仿真及分析................................................10</p><p>  4.1 SHUMAGUAN模塊仿真波形圖......................................................10</p><p>  4.2 頂層實(shí)體的仿真波形...

11、........................................................10</p><p>  4.3 TAFFIC模塊的仿真波形圖.......................................................11</p><p>  4.4 管腳鎖定.................................

12、....................................11</p><p>  5 完成調(diào)試后所顯示結(jié)果的八種情況................................................12</p><p>  6 結(jié)論.................................................................

13、.........15</p><p>  7 總結(jié)與體會(huì)....................................................................15</p><p>  附 錄...........................................................................17</p

14、><p>  1 SHUMAGUAN的VHDL程序............................................................17</p><p>  2 TRAFFIC的VHDL程序..............................................................18</p><p>

15、;  參考文獻(xiàn)........................................................................22</p><p><b>  1</b></p><p><b>  1 引言</b></p><p><b>  1.1 設(shè)計(jì)背景</b>

16、</p><p>  隨著城市機(jī)動(dòng)車量的不斷增加,許多大城市如北京、上海、南京等出現(xiàn)了交通超負(fù)荷運(yùn)行的情況,因此,自80年代后期,這些城市紛紛修建城市高速道路,在高速道路建設(shè)完成的初期,它們也曾有效地改善了交通狀況。然而,隨著交通量的快速增長和缺乏對(duì)高速道路的系統(tǒng)研究和控制,高速道路沒有充分發(fā)揮出預(yù)期的作用。而城市高速道路在構(gòu)造上的特點(diǎn),也決定了城市高速道路的交通狀況必然受高速道路與普通道路耦合處交通狀況的制約。

17、因此,開發(fā)一套能夠社會(huì)服務(wù)的交通燈控制器將是非常必要的,也是十分及時(shí)的。</p><p>  1.2 VHDL簡介</p><p>  語言硬件描述已經(jīng)有幾十年的發(fā)展歷史,并且在系統(tǒng)的仿真、驗(yàn)和設(shè)計(jì)、綜合等方面得到成功的應(yīng)用。目前常用的硬件描述語言有VHDL、 Verilog HDL 、ABEL等。VHDL則起源于20世紀(jì)70年代末和80年代初,美國國防部提出的VHSIC計(jì)劃,目標(biāo)是為下一

18、代集成電路的生產(chǎn)、實(shí)踐階段性的工藝極限和完成10萬門級(jí)以上的電路設(shè)計(jì)而建立一種新的描述方法。VHDL的英文全稱為Very-High-Speed Integrated Circuit Hardware Description Language,是IEEE標(biāo)準(zhǔn)化的硬件描述語言,并且經(jīng)成為系統(tǒng)描述的國際公認(rèn)標(biāo)準(zhǔn),得到眾多EDA公司的支持。</p><p><b>  2</b></p>

19、<p>  成為系統(tǒng)描述的國際公認(rèn)標(biāo)準(zhǔn),得到眾多EDA公司的支持。</p><p>  IEEE-1076(簡稱87版)之后,各EDA公司相繼推出自的VHDL設(shè)計(jì)環(huán)境,或宣布自己的設(shè)計(jì)工具可以和VHDL接口。1993年,IEEE對(duì)VHDL進(jìn)行了修訂,從更高的抽象層次和系統(tǒng)描述能力上擴(kuò)展VHDL的內(nèi)容,公布了新版本的VHDL,即IEEE標(biāo)準(zhǔn)的1076-1993版本,簡稱93版。VHDL和Verilog

20、作為IEEE的工業(yè)標(biāo)準(zhǔn)硬件描述語言,得到眾多EDA公司支持,在電子工程領(lǐng)域,已成為事實(shí)上的通用硬件描述語言。</p><p>  VHDL具有很多的優(yōu)點(diǎn)使它能夠被大多數(shù)人認(rèn)可,被廣泛應(yīng)用在邏輯電路的設(shè)計(jì)方面,并且成為了標(biāo)準(zhǔn)化的硬件描述語言,其優(yōu)點(diǎn)如下:</p><p>  (1)功能強(qiáng)大和設(shè)計(jì)靈活。一個(gè)簡潔的使用VHDL語言編寫的程序就可以描述一個(gè)復(fù)雜的邏輯電路,因?yàn)閂HDL擁有強(qiáng)大的語言

21、結(jié)構(gòu)。VHDL多層次的設(shè)計(jì)描述功能可以有效地控制設(shè)計(jì)的實(shí)現(xiàn),支持設(shè)計(jì)庫和可重復(fù)使用的元件生成,還支持多種設(shè)計(jì)方式,如層次化設(shè)計(jì)、模塊化設(shè)計(jì)和同步、異步和隨機(jī)電路設(shè)計(jì)。</p><p>  (2)與具體器件無關(guān)。用VHDL設(shè)計(jì)硬件電路時(shí)不用先確定設(shè)計(jì)要用到哪種器件,也不用特別熟悉器件的內(nèi)部結(jié)構(gòu),這樣可以使設(shè)計(jì)人員專注于進(jìn)行系統(tǒng)設(shè)計(jì)。設(shè)計(jì)完成后,可以根據(jù)消耗的資源選擇合適的器件,而不造成資源的浪費(fèi)。</p>

22、;<p>  (3)很強(qiáng)的移植能力。VHDL由很多不同的工具支持,同一個(gè)設(shè)計(jì)的程序可以在包括綜合工具、仿真工具、系統(tǒng)平臺(tái)等工具中使用。</p><p><b>  3</b></p><p>  (4)強(qiáng)大的硬件描述能力。VHDL可以描述系統(tǒng)級(jí)電路和門級(jí)電路,而且描述方式多樣,可以采用行為描述、寄存器傳輸描述或者結(jié)構(gòu)描述,</p><

23、p>  也可以用其混合描述方式。同時(shí),VHDL可以準(zhǔn)確地建立硬件電路模型,因?yàn)樗С謶T性延遲和傳輸延遲。VHDL的數(shù)據(jù)類型很豐富,支持標(biāo)準(zhǔn)定義的數(shù)據(jù)類型,當(dāng)標(biāo)準(zhǔn)定義的數(shù)據(jù)類型不能滿足用戶的需求時(shí),用戶可以自己定義的所需要的數(shù)據(jù)類型,增加了設(shè)計(jì)的自由度。</p><p>  (5)語法規(guī)范,易于共享。當(dāng)把用VHDL編寫的代碼文件看作是程序時(shí),它可以作為設(shè)計(jì)人員之間的交流內(nèi)容;當(dāng)把它看作是文檔時(shí),可以作為簽約雙

24、方的合同文本。VHDL易于共享的特點(diǎn),使得大規(guī)模的協(xié)作開發(fā)容易實(shí)現(xiàn)。同時(shí),這些特點(diǎn)也促進(jìn)了VHDL的發(fā)展和完善。</p><p>  綜上所述,VHDL有很多其他的硬件描述語言所不具備的優(yōu)點(diǎn)。但是,VHDL仍然存在一些缺點(diǎn),主要是3個(gè)方面。</p><p>  (1)要求設(shè)計(jì)者對(duì)硬件電路知識(shí)甚至是芯片結(jié)構(gòu)方面的知識(shí)了解較多。應(yīng)該擺脫一般的高級(jí)語言程序設(shè)計(jì)思路,因?yàn)樵陔娐肥澜缋锏氖录芏嗍遣?/p>

25、行發(fā)生的,并且硬件電路系統(tǒng)內(nèi)部的模塊可以是互相獨(dú)立的,也可以是互為因果的,所以,在用VHDL設(shè)計(jì)硬件電路時(shí)應(yīng)擺脫一般的高級(jí)語言程序設(shè)計(jì)思路。在設(shè)計(jì)電路時(shí),應(yīng)先構(gòu)思電路,然后才能描述。</p><p>  (2)不能進(jìn)行太抽象的系統(tǒng)描述。因?yàn)镋DA工具無法綜合抽象性太強(qiáng)的系統(tǒng),故用VHDL描述系統(tǒng)電路時(shí)不能太抽象。目前的VHDL很難綜合實(shí)際的硬件電路,只能適用于系統(tǒng)建模。</p><p>&

26、lt;b>  4</b></p><p>  (3)不能描述模擬電路。對(duì)于模擬電路而言,VHDL并不是一種理想的硬件描述語言。但可以預(yù)見,未來硬件描述語言的發(fā)展方向是模擬電路和數(shù)?;旌想娐返拿枋龇绞?。</p><p>  1.3 QuartusⅡ簡介</p><p>  Quartus II 是Altera公司的綜合性PLD/FPGA開發(fā)軟件,支持

27、原理圖、VHDL、VerilogHDL以及AHDL(Altera Hardware Description Language)等多種設(shè)計(jì)輸入形式,內(nèi)嵌自有的綜合器以及仿真器,可以完成從設(shè)計(jì)輸入到硬件配置的完整PLD設(shè)計(jì)流程。</p><p>  Quartus II可以在XP、Linux以及Unix上使用,除了可以使用Tcl腳本完成設(shè)計(jì)流程外,提供了完善的用戶圖形界面設(shè)計(jì)方式。具有運(yùn)行速度快,界面統(tǒng)一,功能集中,

28、易學(xué)易用等特點(diǎn)。</p><p>  Quartus II支持Altera的IP核,包含了LPM/MegaFunction宏功能模塊庫,使用戶可以充分利用成熟的模塊,簡化了設(shè)計(jì)的復(fù)雜性、加快了設(shè)計(jì)速度。對(duì)第三方EDA工具的良好支持也使用戶可以在設(shè)計(jì)流程的各個(gè)階段使用熟悉的第三方EDA工具。</p><p>  此外,Quartus II 通過和DSP Builder工具與Matlab相結(jié)合

29、,可以方便地實(shí)現(xiàn)各種DSP應(yīng)用系統(tǒng);支持Altera的片上可編程系統(tǒng)(SOPC)開發(fā),集系統(tǒng)級(jí)設(shè)計(jì)、嵌入式軟件開發(fā)、可編程邏輯設(shè)計(jì)于一體,是一種綜合性的開發(fā)平臺(tái)。 </p><p>  2 交通信號(hào)燈控制器的設(shè)計(jì)</p><p><b>  5</b></p><p><b>  2.1 設(shè)計(jì)目的</b></p>

30、;<p>  采用VHDL語言對(duì)交通燈控制器的設(shè)計(jì),使交通燈控制電路用數(shù)字信號(hào)自動(dòng)控制十字路口兩組紅、黃、綠交通燈的通過狀態(tài)轉(zhuǎn)換的方法,</p><p>  指揮各種車輛和行人安全通行,實(shí)現(xiàn)十字路口交通管理的自動(dòng)化。綠燈亮</p><p>  時(shí),準(zhǔn)許車輛通行,但轉(zhuǎn)彎的車輛不得妨礙被放行的直行車輛、行人通行,黃燈亮?xí)r,已越過停止線的車輛可以繼續(xù)通行,紅燈亮?xí)r,禁止車輛通行。&

31、lt;/p><p><b>  2.2 系統(tǒng)計(jì)要求</b></p><p>  系統(tǒng)設(shè)要求為:由一條主干道和一條支干道匯合十字路口,在每個(gè)入口處設(shè)置紅,綠,黃,拐允許四盞信號(hào)燈,紅燈亮禁止通行,黃燈亮則給行駛中的車輛有時(shí)間停在禁行線外,左拐燈亮允許車輛向左拐外。信號(hào)燈變換次序?yàn)椋褐鞲傻烂看畏判?0秒,亮5秒紅燈讓行駛中的車輛有時(shí)間停到禁行線外,左拐放行15秒,亮5秒紅燈;

32、支干道放行30秒,亮5秒黃燈,左拐放行15秒,亮5秒紅燈......,各計(jì)時(shí)電路位倒計(jì)時(shí)顯示。</p><p><b>  系統(tǒng)框圖如下:</b></p><p><b>  6</b></p><p>  圖2-1 設(shè)計(jì)思路示意圖</p><p><b>  2.3 設(shè)計(jì)思路</b

33、></p><p>  根據(jù)系統(tǒng)的功能要求,可分為四個(gè)部分來實(shí)現(xiàn),分別是定時(shí)模塊,主控電路,譯碼驅(qū)動(dòng)電路和掃描顯示幾部分。分頻部分是把外部提供的1Hz進(jìn)行分頻得到系統(tǒng)工作需要的工作脈沖,顯示部分包括兩個(gè)內(nèi)容,一個(gè)是主干道紅綠燈顯示,另一個(gè)是支干道紅綠燈顯示。然后將紅綠燈顯示時(shí)間以BCD碼形式顯示出來,顯示模塊將其譯碼輪流掃描顯示</p><p>  2.4 交通信號(hào)燈控制器系統(tǒng)工作流

34、程</p><p>  (1)主干道放行亮綠燈40秒,支干道紅燈顯示65秒;</p><p>  (2)主干道綠燈轉(zhuǎn)黃燈5秒,支干道紅燈顯示25秒;</p><p>  (3)主干道黃燈轉(zhuǎn)左拐15秒,支干道紅燈顯示20秒;</p><p>  (4)主干道左拐轉(zhuǎn)紅燈55秒,支干道綠燈顯示30秒;</p><p><

35、;b>  7</b></p><p>  (5)主干道紅燈顯示25秒,支干道綠燈轉(zhuǎn)黃燈5秒;</p><p>  (6)主干道紅燈顯示20秒,支干道黃燈轉(zhuǎn)左拐15秒;</p><p>  (7)主干道亮紅燈5秒,支干道左拐轉(zhuǎn)黃燈5秒;</p><p>  (8)依次循環(huán)跳到主干道,紅綠燈重新計(jì)時(shí)。</p>&l

36、t;p>  3 交通燈控制器的實(shí)現(xiàn)</p><p>  3.1 交通燈控制器的設(shè)計(jì)原型圖</p><p>  圖3-1 具有四種信號(hào)燈的交通信號(hào)燈硬件系統(tǒng)示意圖</p><p>  原理圖中輸入部分分別是clk:系統(tǒng)時(shí)鐘信號(hào);LA:主干道紅綠燈顯示;LB:支干道紅綠燈顯示;輸出部分為七段譯碼顯示和位選碼MS,數(shù)碼管顯示的是交通信號(hào)燈轉(zhuǎn)換時(shí)間。</p>

37、;<p><b>  8</b></p><p>  3.2 系統(tǒng)各功能模塊的實(shí)現(xiàn)</p><p>  3.2.1 模塊shuomaguan</p><p>  圖3-2 1shuomaguan模塊</p><p>  shumaguan的設(shè)計(jì)難點(diǎn)在于分析輸入條件的不同,會(huì)有什么樣的輸出結(jié)果。這就要理解sh

38、umaguan模塊的作用就是控制交通燈時(shí)間顯示的</p><p>  轉(zhuǎn)換的工作。其模塊所用程序見附錄shuomaguan模塊的VHDL編程</p><p>  3.2.2 模塊 traffic</p><p>  圖3-3 模塊traffic</p><p>  traffic模塊的作用是控制交通信號(hào)燈的四種燈之間的轉(zhuǎn)換工作,其所用的程序見

39、附錄 traffic模塊的VHDL編程。</p><p><b>  3.3 原理圖</b></p><p><b>  9</b></p><p>  圖3-4 交通信號(hào)燈原理圖</p><p>  4 交通信號(hào)燈控制器系統(tǒng)仿真及分析</p><p>  4.1 shuma

40、guan模塊仿真波形圖</p><p>  圖4-1 shumaguan模塊仿真波形圖</p><p>  分析:由圖中看出,當(dāng)使能位EN 為低電平的時(shí)候,兩路數(shù)碼管維持初始值不變,當(dāng)使能位為高電平的時(shí)候,兩個(gè)數(shù)碼管在每個(gè)時(shí)鐘內(nèi),減一,與題目要求相符。</p><p>  4.2 頂層實(shí)體的仿真波形</p><p>  圖4-2 頂層實(shí)體的仿

41、真波形</p><p><b>  10</b></p><p>  分析:從圖中很容易看出,交通燈只在狀態(tài)發(fā)生變換的時(shí)候發(fā)生變換,而且在一整個(gè)狀態(tài)內(nèi)不發(fā)生變化。</p><p>  并且可以看出,在狀態(tài)0的時(shí)候,主干道綠燈亮,支干道紅燈亮。在狀態(tài)1的時(shí)候,主干道黃燈亮,支干道紅燈亮,在狀態(tài)2的時(shí)候,主干道的左拐燈亮,支干道的紅燈亮。</

42、p><p>  4.3 taffic模塊的仿真波形圖</p><p>  圖4-3 traffic模塊的仿真波形</p><p>  分析:從波形圖內(nèi)很容易看出,狀態(tài)機(jī)的變換,并且看出數(shù)碼管的倒計(jì)時(shí)為零的時(shí)候與狀態(tài)變換同步,并且主干道的倒計(jì)時(shí)與支干道的倒</p><p>  計(jì)時(shí)相互獨(dú)立,不影響。</p><p><

43、b>  4.4 管腳鎖定</b></p><p>  在驗(yàn)證交通信號(hào)燈系統(tǒng)功能之前,需要清楚試驗(yàn)箱與與各個(gè)信號(hào)之間的對(duì)應(yīng)關(guān)系,所以我們必須鎖定管腳。管腳鎖定后,將頂層文件下載到EDA試驗(yàn)箱芯片中,并驗(yàn)證功能。</p><p><b>  11</b></p><p>  圖4-4 管腳鎖定圖</p><p&

44、gt;  5 完成調(diào)試后所顯示結(jié)果的八種情況</p><p><b>  12</b></p><p>  圖5-1 驗(yàn)證結(jié)果1 主干道顯示綠燈,支干道顯示紅燈;</p><p>  圖5-2 驗(yàn)證結(jié)果2 主干道顯示綠燈,支干道顯示紅燈;</p><p>  圖5-3 驗(yàn)證結(jié)果3 主干道顯示左拐綠燈,支干道顯示紅燈;&l

45、t;/p><p><b>  13</b></p><p>  圖5-4 驗(yàn)證結(jié)果4 主干道顯示黃燈,支干道顯示紅燈;</p><p>  圖5-5 驗(yàn)證結(jié)果5 主干道顯示紅燈,支干道顯示綠燈;</p><p><b>  13</b></p><p>  圖5-6 驗(yàn)證結(jié)果6

46、主干道顯示紅燈,支干道顯示黃燈;</p><p>  圖5-7 驗(yàn)證結(jié)果7 主干道顯示紅燈,支干道顯示左拐綠燈;</p><p><b>  14</b></p><p>  圖5-8 驗(yàn)證結(jié)果8 主干道顯示紅燈,支干道顯示黃燈;</p><p>  之后可知,交通信號(hào)燈將再次回歸驗(yàn)證結(jié)果1,再次重復(fù)所驗(yàn)證8個(gè)結(jié)果。&l

47、t;/p><p>  觀察的符合我們的設(shè)計(jì)結(jié)果,設(shè)計(jì)是正確的。</p><p><b>  6 結(jié)論</b></p><p>  本設(shè)計(jì)采用了VHDL硬件描述語言文本輸入方式,在確立總體預(yù)期實(shí)現(xiàn)功能的前提下,分層次進(jìn)行設(shè)計(jì)。實(shí)現(xiàn)了三種顏色交通信號(hào)燈的交</p><p>  替點(diǎn)亮,以及時(shí)間的倒計(jì)時(shí)顯示,指揮行人和車輛安全通行

48、。程序中所用到的數(shù)據(jù)均可以根據(jù)實(shí)際情況進(jìn)行設(shè)置,修改靈活方便。通過此次設(shè)計(jì),我對(duì)于VHDL硬件描述語言有了更深入地了解,也在原來所學(xué)的理論基礎(chǔ)上得到了進(jìn)一步地應(yīng)用。但由于經(jīng)驗(yàn)上的不足,有些地方還需要做進(jìn)一步地改善,如可通過FPGA/CPLD開發(fā)套件驗(yàn)證。數(shù)字化時(shí)代的到來給人們的生活水平帶來了極大的改變,我們有理由相信,隨著數(shù)字化的深入,交通燈控制器的功能將日趨完善。而且,VHDL語言對(duì)EDA技術(shù)產(chǎn)生的影響也是深遠(yuǎn)的,它縮短了電子產(chǎn)品的設(shè)

49、計(jì)周期,為設(shè)計(jì)者提供了方便。在今后的電子產(chǎn)品研究開發(fā)過程中,EDA技術(shù)將會(huì)具有更好的開發(fā)手段和更高的性價(jià)比,并且將擁有更為廣闊的市場應(yīng)用前景。</p><p><b>  7 總結(jié)與體會(huì)</b></p><p>  通過這次課程設(shè)計(jì),加強(qiáng)了我動(dòng)手、思考和解決問題的能力.現(xiàn)在設(shè)</p><p><b>  15</b><

50、;/p><p>  計(jì)已經(jīng)做好了,自己感覺還是比較好的,雖然花了很多的時(shí)間,但學(xué)到了很</p><p>  多東西.做課程設(shè)計(jì)的時(shí)候,自己把整個(gè)書本都看了幾遍,增強(qiáng)了自己對(duì)知識(shí)的理解,很多以前不是很懂的問題現(xiàn)在都已經(jīng)一一解決了。在課程設(shè)計(jì)的過程中,我想了很多種方案,對(duì)同一個(gè)問題都想了很多種不同的接法,運(yùn)用不同的芯片進(jìn)行了比較,最后還是采取了上面的方法進(jìn)行連接.從開始做課程設(shè)計(jì)那天起,腦中天天都

51、想著同樣的問題,怎么去把電路和程序弄得更加簡單,怎么別人更容易看懂.但似乎時(shí)間過得真的很快,我用了好幾天才把它完全弄完,完成后,心里有一種說不出的高興。在設(shè)計(jì)過程中遇到很多麻煩,但我都上網(wǎng)查資料,去圖書館找參考書,努力地去解決所</p><p>  遇到的問題。這次課程設(shè)計(jì)之后,使我明白了,做任何事情都要認(rèn)真仔細(xì),不然的話,你會(huì)花更多的時(shí)間才會(huì)做好.課程設(shè)計(jì)有利于提高我們的動(dòng)手能力,能把我們所學(xué)的書本知識(shí)運(yùn)用到實(shí)

52、際生活中去.同時(shí)也豐富了我們的業(yè)余生活,提高我們對(duì)知識(shí)的理解能力</p><p>  通過這三周的努力,我的課程設(shè)計(jì)終于完成了。在此階段,我在學(xué)習(xí)上和思想上都受益非淺,這除了自身的努力外,與各位老師、同學(xué)和朋友的關(guān)心、支持和鼓勵(lì)是分不開的。</p><p>  在本課程設(shè)計(jì)的完成過程中,我的指導(dǎo)老師****老師傾注了大量的心血,從選題到開題報(bào)告,從寫作提綱,到一遍又一遍地指出每稿中的具體問

53、題,嚴(yán)格把關(guān),循循善誘,在此我表示衷心感謝。同時(shí)我還要感謝在我學(xué)習(xí)期間給我極大關(guān)心和支持的我的同學(xué)和朋友。</p><p><b>  16</b></p><p>  由于時(shí)間的倉促及自身專業(yè)水平的不足,整個(gè)課程設(shè)計(jì)肯定存在尚未發(fā)現(xiàn)的缺點(diǎn)和錯(cuò)誤。懇請(qǐng)閱讀此篇說明書的老師、同學(xué),多予指正,不勝感激。</p><p><b>  附 錄

54、</b></p><p>  Shumaguan的VHDL程序</p><p>  library ieee;</p><p>  use ieee.std_logic_1164.all;</p><p>  use ieee.std_logic_unsigned.all;</p><p>  entity

55、 shumaguan is</p><p><b>  port(</b></p><p>  clk_shumaguan : in std_logic;</p><p>  lcd_zhu,lcd_zhi : in std_logic_vector(7 downto 0);</p><p>  lcd_cho : ou

56、t std_logic_vector(2 downto 0);</p><p>  lcd_dev : out std_logic_vector(7 downto 0)</p><p><b>  );</b></p><p>  end shumaguan;</p><p>  architecture behav o

57、f shumaguan is</p><p>  signal data : std_logic_vector(3 downto 0);</p><p>  signal sec : std_logic_vector(2 downto 0);</p><p>  signal dout : std_logic_vector(7 downto 0);</p>

58、;<p><b>  begin</b></p><p>  process(clk_shumaguan)</p><p><b>  begin</b></p><p>  if(clk_shumaguan'event and clk_shumaguan='1') then <

59、/p><p>  if(sec="011") then</p><p>  sec<="000";</p><p><b>  else</b></p><p>  sec<=sec+1;</p><p><b>  end if;<

60、/b></p><p><b>  end if;</b></p><p>  end process;</p><p>  process(sec,lcd_zhu(7 downto 0),lcd_zhi(7 downto 0)) </p><p><b>  begin</b></p&

61、gt;<p>  case sec is</p><p>  when "000"=>data<=lcd_zhi(3 downto 0);</p><p><b>  17</b></p><p>  when "001"=>data<=lcd_zhi(7 downt

62、o 4);</p><p>  when "010"=>data<=lcd_zhu(3 downto 0);</p><p>  when "011"=>data<=lcd_zhu(7 downto 4);</p><p>  when others=>null;</p><p

63、><b>  end case;</b></p><p>  end process;</p><p>  process(data)</p><p><b>  begin</b></p><p>  case data is</p><p>  when "

64、;0000"=>dout<="00111111";</p><p>  when "0001"=>dout<="00000110";</p><p>  when "0010"=>dout<="01011011";</p><

65、p>  when "0011"=>dout<="01001111";</p><p>  when "0100"=>dout<="01100110";</p><p>  when "0101"=>dout<="01101101"

66、;</p><p>  when "0110"=>dout<="01111101";</p><p>  when "0111"=>dout<="00000111";</p><p>  when "1000"=>dout<=&qu

67、ot;01111111";</p><p>  when "1001"=>dout<="01101111";</p><p>  when others=>dout<="00000000";</p><p><b>  end case;</b><

68、;/p><p>  end process;</p><p>  lcd_cho<=sec;</p><p>  lcd_dev<=dout;</p><p>  end behav;</p><p>  Traffic的VHDL程序</p><p>  library ieee;<

69、;/p><p>  use ieee.std_logic_1164.all;</p><p>  use ieee.std_logic_unsigned.all;</p><p>  entity traffic is</p><p><b>  port(</b></p><p>  clk,EN

70、: in std_logic;</p><p>  led_con : out std_logic_vector(7 downto 0); </p><p>  zhu,zhi : out std_logic_vector(7 downto 0) );</p><p>  end traffic;</p><p>  architecture

71、 behav of traffic is</p><p>  type st_type is(st0,st1,st2,st3,st4,st5,st6,st7); </p><p>  signal led : std_logic_vector(7 downto 0); </p><p>  signal c_st : st_type;</p><

72、p><b>  18</b></p><p>  signal lcd_zhu : std_logic_vector(7 downto 0); </p><p>  signal lcd_zhi : std_logic_vector(7 downto 0); </p><p><b>  begin</b></

73、p><p>  process (clk,EN)</p><p><b>  begin</b></p><p>  if EN='0'then </p><p>  c_st<=st0; </p><p>  lcd_zhu<="01000000";

74、</p><p>  lcd_zhi<="01100101"; </p><p>  led<="00010100"; </p><p>  elsif clk'event and clk='1'then </p><p>  case c_st is </p&

75、gt;<p>  when st0=> </p><p>  if lcd_zhu=1 then </p><p>  c_st<=st1; </p><p>  lcd_zhu<="00000101";</p><p>  led<="00010010"; <

76、;/p><p><b>  else </b></p><p>  c_st<=st0; </p><p>  if lcd_zhu(3 downto 0)=0 then </p><p>  lcd_zhu<=lcd_zhu-7;</p><p><b>  else <

77、;/b></p><p>  lcd_zhu<=lcd_zhu-1; </p><p><b>  end if; </b></p><p><b>  end if; </b></p><p>  if lcd_zhi(3 downto 0)=0 then </p>&

78、lt;p>  lcd_zhi<=lcd_zhi-7; </p><p><b>  else </b></p><p>  lcd_zhi<=lcd_zhi-1;</p><p><b>  end if; </b></p><p>  when st1=></p>

79、;<p>  if lcd_zhu=1 then </p><p>  c_st<=st2;</p><p>  lcd_zhu<="00010101"; </p><p>  led<="00011000"; </p><p><b>  else <

80、/b></p><p>  c_st<=st1; </p><p>  if lcd_zhu(3 downto 0)=0 then </p><p>  lcd_zhu<=lcd_zhu-7;</p><p><b>  else </b></p><p>  lcd_zhu

81、<=lcd_zhu-1; </p><p><b>  end if;</b></p><p><b>  end if;</b></p><p><b>  19</b></p><p>  if lcd_zhi(3 downto 0)=0 then</p>

82、;<p>  lcd_zhi<=lcd_zhi-7; </p><p><b>  else </b></p><p>  lcd_zhi<=lcd_zhi-1; </p><p><b>  end if; </b></p><p>  when st2=><

83、;/p><p>  If lcd_zhu=1 when</p><p>  c_st<=st3; </p><p>  lcd_zhu<="00000101"; </p><p>  led<="00010010"; </p><p><b>  else

84、 </b></p><p>  c_st<=st2;</p><p>  if lcd_zhu(3 downto 0)=0 then </p><p>  lcd_zhu<=lcd_zhu-7;</p><p><b>  else </b></p><p>  lcd_

85、zhu<=lcd_zhu-1; </p><p><b>  end if; </b></p><p><b>  end if; </b></p><p>  if lcd_zhi(3 downto 0)=0 then </p><p>  lcd_zhi<=lcd_zhi-7; &

86、lt;/p><p><b>  else </b></p><p>  lcd_zhi<=lcd_zhi-1; </p><p><b>  end if; </b></p><p>  when st3=> </p><p>  if lcd_zhu=1 then

87、 </p><p>  c_st<=st4; </p><p>  lcd_zhu<="01010101"; </p><p>  lcd_zhi<="00110000"; </p><p>  led<="01000001"; </p><

88、;p><b>  else </b></p><p>  c_st<=st3; </p><p>  if lcd_zhu(3 downto 0)=0 then </p><p>  lcd_zhu<=lcd_zhu-7; </p><p><b>  else </b></

89、p><p>  lcd_zhu<=lcd_zhu-1; </p><p><b>  end if; </b></p><p>  if lcd_zhi(3 downto 0)=0 then </p><p>  lcd_zhi<=lcd_zhi-7; </p><p><b>

90、  else </b></p><p>  lcd_zhi<=lcd_zhi-1; </p><p><b>  end if; </b></p><p><b>  end if; </b></p><p>  when st4=> </p><p>

91、;<b>  20</b></p><p>  if lcd_zhi=1 then </p><p>  c_st<=st5; </p><p>  lcd_zhi<="00000101"; </p><p>  led<="00100001"; </p&g

92、t;<p><b>  else </b></p><p>  c_st<=st4;</p><p>  lcd_zhi<=lcd_zhi-7; </p><p><b>  else </b></p><p>  lcd_zhi<=lcd_zhi-1; </p

93、><p><b>  end if; </b></p><p><b>  end if; </b></p><p>  if lcd_zhu(3 downto 0)=0 then</p><p>  lcd_zhu<=lcd_zhu-7; </p><p><b&g

94、t;  else </b></p><p>  lcd_zhu<=lcd_zhu-1; </p><p><b>  end if; </b></p><p>  when st5=> </p><p>  if lcd_zhi=1 then </p><p>  c_st

95、<=st6;</p><p>  lcd_zhi<="00010101"; </p><p>  led<="10000001"; </p><p><b>  else </b></p><p>  c_st<=st5; </p><p

96、>  if lcd_zhi(3 downto 0)=0 then </p><p>  lcd_zhi<=lcd_zhi-7;</p><p><b>  else </b></p><p>  lcd_zhi<=lcd_zhi-1; </p><p><b>  end if; </b

97、></p><p><b>  end if; </b></p><p>  if lcd_zhu(3 downto 0)=0 then </p><p>  lcd_zhu<=lcd_zhu-7; </p><p><b>  else </b></p><p>

98、;  lcd_zhu<=lcd_zhu-1; </p><p><b>  end if;</b></p><p>  when st6=> </p><p>  if lcd_zhi=1 then </p><p>  c_st<=st7; </p><p>  lcd_zhi

99、<="00010101";</p><p>  led<="00100001"; </p><p><b>  else </b></p><p>  c_st<=st6; </p><p>  if lcd_zhi(3 downto 0)=0 then <

100、/p><p>  lcd_zhi<=lcd_zhi-7; </p><p><b>  21</b></p><p><b>  else </b></p><p>  lcd_zhi<=lcd_zhi-1;</p><p><b>  end if;<

101、;/b></p><p><b>  end if; </b></p><p>  if lcd_zhu(3 downto 0)=0 then </p><p>  lcd_zhu<=lcd_zhu-7;</p><p><b>  else</b></p><p&g

102、t;  lcd_zhu<=lcd_zhu-1;</p><p><b>  end if;</b></p><p>  when st7=></p><p>  if lcd_zhi=1 then</p><p>  c_st<=st0;</p><p>  lcd_zhu<

103、;="01000000";</p><p>  lcd_zhi<="01100101";</p><p>  led<="00010100";</p><p><b>  else </b></p><p>  c_st<=st7;</p

104、><p>  if lcd_zhi(3 downto 0)=0 then </p><p>  lcd_zhi<=lcd_zhi-7;</p><p><b>  else </b></p><p>  lcd_zhi<=lcd_zhi-1; </p><p><b>  end

105、 if; </b></p><p>  if lcd_zhu(3 downto 0)=0 then </p><p>  lcd_zhu<=lcd_zhu-7; </p><p><b>  else </b></p><p>  lcd_zhu<=lcd_zhu-1; </p>&

106、lt;p><b>  end if;</b></p><p><b>  end if;</b></p><p><b>  end case;</b></p><p><b>  end if;</b></p><p>  end process;

107、</p><p>  zhu<=lcd_zhu;</p><p>  zhi<=lcd_zhi;</p><p>  led_con<=led;</p><p>  end behav;</p><p><b>  參考文獻(xiàn)</b></p><p>  1

108、楊曉慧.電子技術(shù)EDA實(shí)踐教程(第一版)[M],北京:國防工業(yè)出版</p><p><b>  22</b></p><p><b>  社;</b></p><p>  2林明權(quán).VHDL數(shù)字控制系統(tǒng)設(shè)計(jì)范例(第一版)[M]北京:電子工業(yè)出版社;</p><p>  3黃繼業(yè).EDA技術(shù)實(shí)用教程(

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 眾賞文庫僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

最新文檔

評(píng)論

0/150

提交評(píng)論