版權(quán)說(shuō)明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)
文檔簡(jiǎn)介
1、<p> 《Protel 與EDA技術(shù)》</p><p><b> 課程考查論文</b></p><p> 題目: 基于汽車尾氣檢測(cè)系統(tǒng)的Protel設(shè)計(jì)與基于EDA技術(shù) 的彩燈控制電路設(shè)計(jì) </p><p> 專業(yè)班級(jí): 09級(jí)自動(dòng)化2班 </p><p
2、> 學(xué)號(hào): </p><p> 姓名: </p><p> 成績(jī): </p><p> 基于汽車尾氣檢測(cè)系統(tǒng)的Protel設(shè)計(jì)</p><p> 摘要:運(yùn)用Protel技術(shù),采用集成運(yùn)算放大
3、器LM324,MQY一109型和MQRl003型氣敏元件,實(shí)時(shí)模擬計(jì)算芯片AD538對(duì)汽車尾氣檢測(cè)電路進(jìn)行設(shè)計(jì)。</p><p> 關(guān)鍵詞:Protel;傳感器;運(yùn)算放大器;汽車尾氣</p><p> 0 引言:汽車排放的尾氣,除空氣中的氮和氧以及燃燒產(chǎn)物CO:、水蒸汽為無(wú)害成份外,其余均為有害成份。汽車發(fā)動(dòng)機(jī)排放的尾氣一部分毒性物質(zhì),多發(fā)生于燃料不完全燃燒或燃?xì)鉁囟容^低時(shí)。開(kāi)發(fā)尾氣探
4、測(cè)儀是控制汽車排放過(guò)量有害氣體的有效方法之一,目前實(shí)用化汽車尾氣探測(cè)儀的發(fā)展方向向微小型、集成化、智能化和多功能化轉(zhuǎn)變,并且對(duì)長(zhǎng)期使用穩(wěn)定性、易維修性方面有廣泛市場(chǎng)。汽車尾氣的有害成分主要有CO和CH化合物,本裝置能探測(cè)CO、CH化合物,通過(guò)轉(zhuǎn)換開(kāi)關(guān)選擇測(cè)量CO或CH的濃度。此次實(shí)驗(yàn)本著電路簡(jiǎn)練,有效,攜帶方便地原則來(lái)設(shè)計(jì)。1 外部穩(wěn)壓電路的構(gòu)建 1.1 +5V直流電源的設(shè)計(jì)因?yàn)闅饷艏訜釤艚z電壓為5V,探測(cè)儀的恒流電壓源部分要求用+5V
5、提供,可用7805來(lái)實(shí)現(xiàn)。被測(cè)氣體通過(guò)氣敏元件后經(jīng)測(cè)量電路,線性化電路和電壓放大部分就可以測(cè)試到數(shù)值。見(jiàn)圖1所示。</p><p> 1.2 +12V直流電源的設(shè)計(jì)</p><p> 電壓放大部分可用7812提供穩(wěn)定放大的電壓,數(shù)值顯示部分可以用數(shù)字電壓表頭來(lái)代替。見(jiàn)圖2。</p><p> 直流穩(wěn)壓電源一般由電源變壓器、整流濾波電路及穩(wěn)壓電路所組成。電源變壓
6、器的作用是將電網(wǎng)220V的交流電壓變換成整流濾波電路所需要的交流電壓K。變壓器副邊與原邊的功率比為P2/P,=田,式中’7是變壓器的效率。整流濾波電路是將交流電壓變換成脈動(dòng)的直流電壓。再經(jīng)濾波電路濾除較大的紋波成分,輸出紋波較小的直流電壓。常用的整流濾波電路有全波整流濾波、橋式整流濾波等。三端集成穩(wěn)壓器:常</p><p> 用的集成穩(wěn)壓器有固定式三端穩(wěn)壓器與可調(diào)式三端穩(wěn)壓器。</p><p
7、><b> 2 系統(tǒng)電路</b></p><p><b> 2.1恒流源</b></p><p> 使用集成運(yùn)算放大器LM324N以及外圍電路來(lái)實(shí)現(xiàn)恒定的電流。本文使用集成在LM324N中的2</p><p> 個(gè)運(yùn)放,將其中一個(gè)運(yùn)放接成電壓跟隨器的形式。具體電路如圖3所示。</p><p
8、> 其中,Vo=K。=瑪=K(電壓跟隨),K;%(虛短),Rl=R2=R5=R6,WRl=(H一屹)/R2,K=2%=2%,(K一屹)/R5=(匕一K)/R6,K=2塢一K=2E—K,又%=K—Vo所以%=K。即:,=K/R7。說(shuō)明只要輸入電壓保持不變,流過(guò)R。:的電流就保持不變達(dá)到恒流的目的?,?shù)碾娏骱愣ǎ@樣就保證了流過(guò)電阻R,:(傳感器等效電阻)上的電流為恒定值。</p><p><b>
9、 2.2差動(dòng)放大電路</b></p><p> 為了使得輸出的信號(hào)能直觀反映出汽車尾氣的濃度以及后續(xù)工作的簡(jiǎn)化,想通過(guò)電路使在沒(méi)有有害氣體時(shí),輸出也為零。基于以上想法,在電路中使用了差動(dòng)放大電路以實(shí)現(xiàn)減法運(yùn)算。使用LM324N中的其余兩個(gè)運(yùn)放來(lái)實(shí)現(xiàn)。將其中一個(gè)運(yùn)放接成電壓跟隨器的形式(放大器5.6.7腳)作為連接前后級(jí)電路的緩連接。將另一個(gè)運(yùn)放接成差動(dòng)放大器。通過(guò)調(diào)整JR。。使Ⅵ。點(diǎn)的電壓接近零。
10、在電路中</p><p> 選用R。=R。。=10k,當(dāng)沒(méi)有有害氣體時(shí)。測(cè)得碼=0.38V,據(jù)此,若調(diào)節(jié)可變電阻尺。3使得基準(zhǔn)電壓</p><p> ?。?0.38V,即可使得■4=0V。具體電路如圖4所示。</p><p> 將K點(diǎn)與K點(diǎn)連接,即可將檢測(cè)出的%值送到差動(dòng)電路中進(jìn)行處理。 上面只是一道選擇題的測(cè)試過(guò)程,在循環(huán)出題中要考慮到重復(fù)出題的現(xiàn)象。解決思路
11、是可以設(shè)置一個(gè)數(shù)組,在lctr=Random(1,reeordeount,1)得到隨機(jī)數(shù)之后,先判斷l(xiāng)etr是否在數(shù)組中,若不在,則可以抽題,并把letr存人數(shù)組中;若letr已在數(shù)組中,表示該題目已出過(guò)了,則不能抽題。對(duì)于錯(cuò)題庫(kù),每個(gè)學(xué)生都可以在答題完成之后調(diào)出來(lái),也可以</p><p> 直接調(diào)用打印程序打印。</p><p><b> 2.3題庫(kù)管理模塊</b&g
12、t;</p><p> 當(dāng)用戶以管理員的身份進(jìn)入題庫(kù)系統(tǒng)時(shí),系統(tǒng)將直接進(jìn)入題庫(kù)管理模塊。題庫(kù)管理模塊就是實(shí)現(xiàn)《數(shù)據(jù)結(jié)構(gòu)》題庫(kù)系統(tǒng)中試題的增加,刪除,修改。筆者還是以選擇題的增加,刪除,修改為例。在程序分支上插入7個(gè)textbox控件,用來(lái)接收輸入試題的相關(guān)信息;插入一個(gè)comboBox控件,用來(lái)供管理者選擇輸入試題的難度。按鈕交互“添加到數(shù)據(jù)庫(kù)”的程序如下:</p><p> shit
13、i:=GetSpriteProperty(@”試題”,#text)daa—</p><p> na:=GetSpriteProperty(@”答案A”,#text)</p><p> daanb.-GetSpriteProperty(@”答案B”,#text)</p><p> daane:=GetSpriteProperty(@”答案C”,#text)<
14、;/p><p> daand:=GetSpritePropen),(@”答案D”,#text)</p><p> zhenquedaan:=GetSpfiteProperty(@”正確答案”,#</p><p><b> text)</b></p><p> daanfenxi:=GetSpriteProperty(
15、@”答案分析”,#</p><p> text)nandu.-GetSpriteProperty(@”難度”,#value)</p><p> Database:一testDSN’ 一</p><p> sqlstring:=”insert into單選題1(試題,答案a,</p><p> 答案b,答案e,答案d,正確答案,答案分析
16、,難度)</p><p> values0”6 shiti…-m‘daana...tt’.”‘daanb…?!薄痙aane</p><p> …,t“daand….mzhenquedaan”1,。H6daanfenxi。?!保琁}’4</p><p><b> nandu…)”</b></p><p> ODBC
17、handle:=ODBCOpen(WindowHandle,”od—</p><p> bcerror",Database,”,”)</p><p> ODBCdata:=ODBCExecute(ODBChandle,sql—</p><p><b> string)</b></p><p> ODBC
18、Close(ODBChandle)</p><p> 對(duì)于試題的修改和刪除,同理,只要把sqlstring語(yǔ)句稍加改動(dòng)就行了。</p><p><b> 2.3線性化電路</b></p><p> 大多數(shù)的氣敏傳感器的輸出電壓與被測(cè)氣體濃度不是線性關(guān)系,但在應(yīng)用時(shí)要變?yōu)榫€性關(guān)系,即進(jìn)</p><p> 行線性化。
19、圖5是一種線性化電路。該連接方法滿足關(guān)系式%等于K倍的K的平方(尺為比例系</p><p> 數(shù))。即:K=聯(lián)。K;經(jīng)過(guò)線性化電路AD538與 放大電路AR2就能獲得輸出電壓‰。,Km與CH。濃</p><p><b> 度成線性關(guān)系。</b></p><p><b> 3 結(jié)束語(yǔ)</b></p>&l
20、t;p> 本文運(yùn)用ProteI設(shè)計(jì),使用集成運(yùn)算放大器,氣敏傳感器來(lái)對(duì)汽車尾氣進(jìn)行采集、分析、處理,利用</p><p> 氣敏元件實(shí)現(xiàn)的一種報(bào)警。在實(shí)驗(yàn)過(guò)程中,主要解決了如何提供穩(wěn)壓電源。使得在沒(méi)有尾氣時(shí)輸出的</p><p> 電壓信號(hào)為零,氣敏傳感器的線性化等問(wèn)題。介紹了汽車尾氣檢測(cè)系統(tǒng)各主要組成部分的具體實(shí)現(xiàn)。</p><p><b>
21、 參考文獻(xiàn):</b></p><p> [1]方大千,鮑俏偉.實(shí)用電子控制電路[M].國(guó)防工業(yè)出版社.</p><p> [2]清源科技.Pmtd 99 SE電路原理圖與PCB設(shè)計(jì)及仿真[M].</p><p> 基于EDA技術(shù)的彩燈控制電路設(shè)計(jì)</p><p> 摘 要:介紹了EDA技術(shù)的概念、基本特點(diǎn)和設(shè)計(jì)方法,并基
22、于EDA技術(shù)設(shè)計(jì)了一個(gè)彩燈控制電路。給出了彩燈控制電路的設(shè)計(jì)要求、設(shè)計(jì)思路和實(shí)現(xiàn)過(guò)程,通過(guò)功能仿真和實(shí)驗(yàn)驗(yàn)證,表明了設(shè)計(jì)的可行性和正確性。此電路可以用于日常生活環(huán)境中的彩燈控制。</p><p> 關(guān)鍵詞:EDA技術(shù);數(shù)字系統(tǒng)設(shè)計(jì);VHDL;彩燈控制電路</p><p><b> 一、引言</b></p><p> 電子設(shè)計(jì)自動(dòng)化EDA(
23、Electronic Design Automation)技術(shù)的發(fā)展和普及給數(shù)字系統(tǒng)的設(shè)計(jì)帶來(lái)了革命性的變化。它基于芯片,大量使用大規(guī)模可編程邏輯器件,以縮短產(chǎn)品的上市時(shí)間,提高產(chǎn)品性能、縮小產(chǎn)品體積、降低產(chǎn)品消耗;它廣泛運(yùn)用現(xiàn)代計(jì)算機(jī)技術(shù),提高電子設(shè)計(jì)自動(dòng)化程度,縮短開(kāi)發(fā)周期,提高產(chǎn)品競(jìng)爭(zhēng)力。閃爍的彩燈在娛樂(lè)場(chǎng)所、餐飲等日常生活環(huán)境中應(yīng)用廣泛,彩燈的亮、滅具有一定的周期和規(guī)律,采用EDA技術(shù)容易設(shè)計(jì)和修改其控制電路。為此,筆者基于ED
24、A技術(shù)設(shè)計(jì)和實(shí)現(xiàn)了一個(gè)彩燈控制電路。</p><p> 二、EDA技術(shù)的概念和特點(diǎn)</p><p> 電子設(shè)計(jì)自動(dòng)化EDA是指以大規(guī)模可編程邏輯器件為設(shè)計(jì)載體,以硬件描述語(yǔ)言為系統(tǒng)邏輯描述的主要表達(dá)方式,以計(jì)算機(jī)為工作平臺(tái),以實(shí)驗(yàn)開(kāi)發(fā)系統(tǒng)為設(shè)計(jì)工具,自動(dòng)完成用軟件方式設(shè)計(jì)的電子系統(tǒng)到硬件系統(tǒng)的邏輯編譯、分割、綜合及優(yōu)化、布局布線、仿真,直至完成對(duì)于待定目標(biāo)芯片的適配編譯、邏輯映射、編程
25、下載等工作,最終形成集成電子系統(tǒng)的一門新技術(shù)。EDA技術(shù)的基本特征是采用高級(jí)語(yǔ)言描述,具有系統(tǒng)級(jí)仿真和綜合能力。它采用“自頂向下”的設(shè)計(jì)方法,與傳統(tǒng)的基于標(biāo)準(zhǔn)邏輯器件的“自底向上”數(shù)字系統(tǒng)設(shè)計(jì)方法相比,EDA技術(shù)具有以下基本特點(diǎn):</p><p> 1.用軟件的方式設(shè)計(jì)硬件。從設(shè)計(jì)輸入到下載配置前的整個(gè)過(guò)程幾乎不涉及硬件,通過(guò)軟件方式修改硬件設(shè)計(jì)。2.設(shè)計(jì)全程,包括電路系統(tǒng)描述、硬件設(shè)計(jì)、仿真測(cè)試、綜合、調(diào)試、
26、軟件設(shè)計(jì),直至硬件系統(tǒng)都由計(jì)算機(jī)完成。3.目標(biāo)系統(tǒng)可現(xiàn)場(chǎng)編程,在線升級(jí);集成度更高,可構(gòu)建片上系統(tǒng)。4.對(duì)設(shè)計(jì)人員的硬件知識(shí)要求低,通過(guò)EDA工具,即使設(shè)計(jì)人員不熟悉各種半導(dǎo)體工藝,也能完成電子系統(tǒng)的設(shè)計(jì)。</p><p> 三、EDA技術(shù)的設(shè)計(jì)方法</p><p> EDA技術(shù)是將傳統(tǒng)的搭積木式設(shè)計(jì)模式變?yōu)樽皂敹碌脑O(shè)計(jì)模式,設(shè)計(jì)人員通過(guò)計(jì)算機(jī)和EDA開(kāi)發(fā)工具即可設(shè)計(jì)和開(kāi)發(fā)出各種功能
27、電路[3]。EDA技術(shù)從系統(tǒng)總體出發(fā),自上而下地逐步細(xì)化設(shè)計(jì)內(nèi)容,最后完成系統(tǒng)設(shè)計(jì)。它包括下面幾個(gè)設(shè)計(jì)步驟:1.設(shè)計(jì)準(zhǔn)備。依據(jù)設(shè)計(jì)要求,選取合適的設(shè)計(jì)方案和器件類型,對(duì)系統(tǒng)功能細(xì)化,合理劃分功能模塊,畫出功能框圖。2.設(shè)計(jì)輸入。選取原理圖、硬件描述語(yǔ)言等進(jìn)行設(shè)計(jì)輸入。3.功能仿真。通過(guò)建立波形文件和測(cè)試向量,在編譯前對(duì)設(shè)計(jì)的電路進(jìn)行邏輯功能驗(yàn)證,此時(shí)的仿真沒(méi)有考慮延時(shí)信息。4.設(shè)計(jì)處理。編譯軟件將對(duì)設(shè)計(jì)輸入文件進(jìn)行邏輯化簡(jiǎn)、綜合優(yōu)化、適
28、配和分割、布局布線,最后產(chǎn)生編程文件。5.時(shí)序仿真。考慮延時(shí)信息,分析系統(tǒng)和各模塊時(shí)序關(guān)系,估計(jì)設(shè)計(jì)的性能,檢查和消除競(jìng)爭(zhēng)冒險(xiǎn)。6.器件編程測(cè)試。將仿真成功后適配器產(chǎn)生的配置文件通過(guò)編程器或下載電纜寫入目標(biāo)芯片,并對(duì)硬件系統(tǒng)進(jìn)行檢查、測(cè)試。</p><p> 四、彩燈控制電路設(shè)計(jì)</p><p> 下面基于DEA技術(shù),利用Alter公司的可編程器件EPF10K10TC144-4和Qua
29、rtus II軟件平臺(tái),設(shè)計(jì)一個(gè)彩燈控制電路。</p><p><b> (一)設(shè)計(jì)要求</b></p><p> 設(shè)計(jì)一個(gè)簡(jiǎn)單彩燈控制電路,控制12個(gè)彩燈周期性地自動(dòng)改變顯示模式。這里設(shè)用發(fā)光二極管模擬彩燈,顯示模式為按組依次循環(huán)亮、滅,即彩燈為L(zhǎng)1-L12,按L1、L2、L3為一組,L2、L3、L4為一組,L3、L4、L5為一組等,依次循環(huán)亮滅。</p&
30、gt;<p><b> (二)設(shè)計(jì)思路</b></p><p> 彩燈變化可以用移位寄存器產(chǎn)生的脈沖序列控制,這里彩燈較多,我們可以將彩燈變化的控制信息以二值變量的形式存儲(chǔ)在只讀存儲(chǔ)器中。用記數(shù)器的輸出依次訪問(wèn)存儲(chǔ)器的各地址單元,將存儲(chǔ)的彩燈控制信息通過(guò)數(shù)據(jù)總線依次輸出,控制彩燈周期性有序變化。根據(jù)分析,進(jìn)行系統(tǒng)功能劃分,采用“自頂向下”的模塊化設(shè)計(jì)方法,將系統(tǒng)劃分為三個(gè)部
31、分,即計(jì)數(shù)器、存儲(chǔ)器、發(fā)光二極管顯示。計(jì)數(shù)器輸出到存儲(chǔ)器的地址端,存儲(chǔ)器輸出接發(fā)光二極管。系統(tǒng)設(shè)計(jì)框圖如圖1所示。</p><p><b> (三)設(shè)計(jì)實(shí)現(xiàn)</b></p><p><b> 1.設(shè)計(jì)輸入</b></p><p> 按DEA技術(shù)設(shè)計(jì)方法,在頂層進(jìn)行模塊劃分后,就可以利用EDA工具進(jìn)行各模塊設(shè)計(jì)。彩燈組
32、合模式為12個(gè),需要12個(gè)存儲(chǔ)單元存放控制信息,地址碼至少為四位,因此選取輸出為四位二進(jìn)制的12進(jìn)制計(jì)數(shù)器來(lái)產(chǎn)生存儲(chǔ)器地址。計(jì)數(shù)器用硬件描述語(yǔ)言VHDL編程實(shí)現(xiàn),在Quartus II中輸入,再生成元件圖符號(hào)counter12。程序counter12.vhd主要代碼段如下:</p><p> architecture shier of counter12 is</p><p> sig
33、nal count : std_logic_vector(3 downto 0);</p><p><b> begin</b></p><p> dout <= count;</p><p> process(clk,clrn)</p><p><b> begin</b></
34、p><p> if clrn='0' then</p><p> count <= “0000” ;</p><p> elsif rising_edge(clk) then</p><p> if count = "1011" then</p><p> count &
35、lt;= "0000";</p><p><b> else </b></p><p> count <= count+1;</p><p><b> end if;</b></p><p><b> end if;</b></p>
36、<p> end process;</p><p> end shier;</p><p> 綜合與分析后,建立波形仿真文件進(jìn)行功能仿真,如圖2所示,得知計(jì)數(shù)器設(shè)計(jì)正確。</p><p> 最后,連接計(jì)數(shù)器和存儲(chǔ)器地址,在Quartus II中將前面創(chuàng)建的counter12和rm1連接起來(lái),形成系統(tǒng)電路如圖3所示。</p><
37、;p><b> 2.功能仿真</b></p><p> 我們可以利用功能仿真來(lái)驗(yàn)證設(shè)計(jì)的正確性。在Quartus II中,將系統(tǒng)電路原理圖輸入,分析與綜合后,進(jìn)行功能仿真。本系統(tǒng)在設(shè)定了計(jì)數(shù)器clk和clrn信號(hào)的情況下,存儲(chǔ)器輸出以16進(jìn)制編碼顯示,輸出信息正確,符合設(shè)計(jì)要求,仿真結(jié)果如圖4所示。</p><p> 3.設(shè)計(jì)處理和器件編程</p&g
38、t;<p> 對(duì)設(shè)計(jì)文件的輸入、輸出端口進(jìn)行引腳分配后,再進(jìn)行項(xiàng)目編譯適配,它能夠?qū)⒃O(shè)計(jì)的邏輯功能級(jí)電路圖自動(dòng)地轉(zhuǎn)換為門級(jí)電路。若設(shè)計(jì)無(wú)錯(cuò)誤,最終可生成供編程下載的文件。當(dāng)然,也可以進(jìn)行時(shí)序仿真。前面工作完成后,就可通過(guò)編程電纜進(jìn)行器件編程和硬件測(cè)試驗(yàn)證。驗(yàn)證測(cè)試合格后,整個(gè)設(shè)計(jì)工作就算完成了。</p><p><b> 五、結(jié)束語(yǔ)</b></p><p
39、> 本文只設(shè)計(jì)了一個(gè)簡(jiǎn)單彩燈控制電路,若要求彩燈數(shù)及組合顯示模式較多,這時(shí)可以使用分頻器、數(shù)據(jù)選擇器等將電路擴(kuò)展,使其達(dá)到設(shè)計(jì)要求。通過(guò)本文的設(shè)計(jì)過(guò)程可知,EDA技術(shù)通過(guò)軟件方式設(shè)計(jì)與測(cè)試數(shù)字系統(tǒng),體現(xiàn)了硬件設(shè)計(jì)向軟件化方向發(fā)展的新趨勢(shì)。這種數(shù)字系統(tǒng)設(shè)計(jì)技術(shù)采用自頂向下的模塊化設(shè)計(jì)方法,使系統(tǒng)的功能修改及調(diào)試方便,大大節(jié)約了設(shè)計(jì)和開(kāi)發(fā)成本。隨著計(jì)算機(jī)技術(shù)和微電子技術(shù)的快速發(fā)展,EDA技術(shù)在現(xiàn)代數(shù)字系統(tǒng)設(shè)計(jì)中必然將發(fā)揮更加重要的作
40、用。</p><p><b> 參考文獻(xiàn):</b></p><p> [1]譚會(huì)生,張昌凡.EDA技術(shù)及應(yīng)用第二版[M].西安:西安電子科技大學(xué)出版社,2006:1-3.</p><p> [2]崔葛瑾.基于FPGA的數(shù)字電路系統(tǒng)設(shè)計(jì)[M].西安:西安電子科技大學(xué)出版社,2008:8-10.</p><p> [
41、3]丁文霞.EDA技術(shù)在現(xiàn)代數(shù)字系統(tǒng)中的應(yīng)用[ J ] .電子技術(shù)應(yīng)用,2000(11):29-31.</p><p> [4]康華光,皺壽彬,秦臻.電子技術(shù)基礎(chǔ)(數(shù)字部分)第五版[M].北京:高等教育出版社,2006:296-298.</p><p> [5]姜雪松,劉東升.硬件描述語(yǔ)言VHDL教程(應(yīng)用篇)[M].西安:西安交通大學(xué)大學(xué)出版社,2004:414-415.</p
溫馨提示
- 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
- 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
- 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
- 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
- 5. 眾賞文庫(kù)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
- 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
- 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。
最新文檔
- eda技術(shù)課程設(shè)計(jì)報(bào)告
- 矩陣鍵盤eda技術(shù)課程設(shè)計(jì)
- 矩陣鍵盤eda技術(shù)課程設(shè)計(jì)
- eda技術(shù)課程設(shè)計(jì)--鬧鐘系統(tǒng)
- eda技術(shù)課程設(shè)計(jì)鬧鐘系統(tǒng)設(shè)計(jì)
- eda技術(shù)課程設(shè)計(jì)---鬧鐘系統(tǒng)設(shè)計(jì)
- 電子密碼鎖eda技術(shù)課程設(shè)計(jì)
- eda技術(shù)課程設(shè)計(jì) ---eda數(shù)字頻率計(jì)
- eda技術(shù)課程設(shè)計(jì)---8位加法器設(shè)計(jì)
- eda課程設(shè)計(jì)-- eda與數(shù)字系統(tǒng)課程設(shè)計(jì)
- eda技術(shù)課程設(shè)計(jì)---脈沖寬度測(cè)量?jī)x
- eda技術(shù)課程設(shè)計(jì)--出租車計(jì)費(fèi)器
- eda技術(shù)課程設(shè)計(jì)----數(shù)字頻率計(jì)
- eda技術(shù)課程設(shè)計(jì)--電梯控制器電路設(shè)計(jì)
- 適用多功能數(shù)字鐘(eda技術(shù)課程設(shè)計(jì))
- protel課程設(shè)計(jì)--protel電路設(shè)計(jì)與制版
- eda技術(shù)課程設(shè)計(jì)交通燈控制器的設(shè)計(jì)
- eda技術(shù)課程設(shè)計(jì)---六位頻率計(jì)的設(shè)計(jì)
- protel課程設(shè)計(jì)
- eda技術(shù)課程設(shè)計(jì)--數(shù)碼管顯示數(shù)字鐘設(shè)計(jì)
評(píng)論
0/150
提交評(píng)論