版權(quán)說(shuō)明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)
文檔簡(jiǎn)介
1、<p> 數(shù)字電子技術(shù)課程設(shè)計(jì)報(bào)告</p><p> 題 目:6位數(shù)字頻率計(jì) </p><p> 學(xué) 年:2009-2010 學(xué) 期: 2</p><p> 專(zhuān) 業(yè)電子信息工程 班 級(jí):</p><p> 學(xué) 號(hào): 姓 名:</p><p><b>
2、 指導(dǎo)教師:</b></p><p> 時(shí) 間: 2010 年 3 月 8日~ 2010 年 3 月 11 日</p><p><b> 第 頁(yè)</b></p><p><b> 一、設(shè)計(jì)任務(wù)書(shū)</b></p><p> 設(shè)計(jì)一個(gè)6位數(shù)字頻率計(jì),測(cè)量范圍
3、為000000~999999;</p><p> 應(yīng)用QuartusII_7.2以自底向上層次化設(shè)計(jì)的方式設(shè)計(jì)電路原理圖;</p><p> 應(yīng)用FPGA實(shí)驗(yàn)開(kāi)發(fā)板下載設(shè)計(jì)文件,實(shí)現(xiàn)電路的功能。</p><p> 二、設(shè)計(jì)框圖及整體概述</p><p><b> 1.設(shè)計(jì)框圖</b></p><
4、;p><b> 2、主要芯片及作用</b></p><p> T觸發(fā)器:將2HZ的頻率翻轉(zhuǎn)成1HZ。</p><p> 74192:1個(gè)74HC192能實(shí)現(xiàn)0~9的計(jì)數(shù)功能, 6個(gè)74HC192可以連成0~999999的計(jì)數(shù)。 </p><p> 74374:是8位的鎖存器,可以選用3個(gè)來(lái)設(shè)計(jì)24位的鎖存器。74374將計(jì)數(shù)器輸出
5、的測(cè)量數(shù)據(jù)暫時(shí)儲(chǔ)存起來(lái),并提供給數(shù)碼管顯示。</p><p> 7448:是BCD—7段譯碼器,用來(lái)顯示測(cè)量結(jié)果。</p><p><b> 3、設(shè)計(jì)原理說(shuō)明</b></p><p> 數(shù)字頻率計(jì)是專(zhuān)門(mén)用于測(cè)量交流信號(hào)周期變化速度的一種儀器,頻率的定義是每秒時(shí)間內(nèi)交流信號(hào)(電壓或電流)發(fā)生周期性變化的次數(shù)。</p><
6、p> 因此頻率計(jì)的任務(wù)就是要在1秒鐘時(shí)間內(nèi)數(shù)出交流信號(hào)從低電平到高電平變化的次數(shù),并將測(cè)得的數(shù)據(jù)通過(guò)數(shù)碼管顯示出來(lái)。</p><p> 50MHz時(shí)鐘信號(hào)通過(guò)模塊VHDL語(yǔ)言源程序變成2Hz的時(shí)鐘信號(hào),通過(guò)T觸發(fā)器將2HZ翻轉(zhuǎn)成1HZ,1HZ經(jīng)過(guò)分頻產(chǎn)生3個(gè)電平信號(hào),1秒脈寬的高電平提供給計(jì)數(shù)器工作;1秒脈寬的高電平提供給鎖存器工作;0.5秒脈寬的高電平用于計(jì)數(shù)器清零。有了這三個(gè)電平信號(hào),就可以用6片7
7、4192工作來(lái)計(jì)數(shù)000000~999999,74374用來(lái)鎖存計(jì)數(shù)器輸出的測(cè)量數(shù)據(jù),再用7448譯碼器來(lái)顯示出來(lái)。</p><p> 三、各單元電路的設(shè)計(jì)方案及原理說(shuō)明</p><p><b> 1. 時(shí)鐘分頻模塊</b></p><p><b> 時(shí)鐘分頻原理圖 </b></p><p>
8、 原理:50MHz時(shí)鐘信號(hào)通過(guò)模塊VHDL語(yǔ)言源程序變成2Hz的時(shí)鐘信號(hào)。將T觸發(fā)器的T端接高電平,T觸發(fā)器則轉(zhuǎn)化為T(mén)’觸發(fā)器,2HZ的脈沖通過(guò)它變?yōu)?HZ。</p><p><b> 2. 時(shí)序產(chǎn)生模塊</b></p><p><b> 時(shí)序產(chǎn)生原理圖</b></p><p> 原理:脈沖通過(guò)T’觸發(fā)器再次翻轉(zhuǎn)后
9、又變?yōu)?.5HZ, EN就是觸發(fā)器Q輸出的脈沖,得到1秒脈寬的高電平,提供給計(jì)數(shù)模塊工作; CLK是觸發(fā)器Q輸出的脈沖經(jīng)過(guò)非門(mén)之后的脈沖,也得到1秒脈寬的高電平,提供給鎖存模塊工作; CLR是經(jīng)過(guò)非門(mén)之后的脈沖和1HZ的脈沖經(jīng)過(guò)非門(mén)之后再經(jīng)過(guò)與門(mén)之后輸出的脈沖 ,所以當(dāng)輸入的1HZ脈沖為低電平和CLK的脈沖為高電平時(shí)為高電平 ,得到0.5秒脈寬的高電平,用于計(jì)數(shù)器清零。 </p><p> 1Hz的時(shí)鐘經(jīng)過(guò)分
10、頻產(chǎn)生以下3個(gè)電平信號(hào):1秒脈寬的高電平,提供給計(jì)數(shù)模塊工作;1秒脈寬的高電平,提供給鎖存模塊工作;0.5秒脈寬的高電平,用于計(jì)數(shù)器清零。</p><p> 3.6位十進(jìn)制計(jì)數(shù)模塊</p><p> 6位十進(jìn)制計(jì)數(shù)原理圖</p><p> 原理:將74192的UP端接脈沖(該脈沖由EN和外來(lái)脈沖CLK通過(guò)與門(mén)得到,當(dāng)EN和CLK脈沖都為高電平時(shí)得到),DN端接
11、高電平為加法器,將CON端接下一個(gè)74192的UP端,進(jìn)行進(jìn)位。1個(gè)74HC192能實(shí)現(xiàn)0~9的計(jì)數(shù)功能,取 6個(gè)74HC192可以連成0~999999的計(jì)數(shù)。工作時(shí),當(dāng)外來(lái)脈沖停止或EN為0,CLK為1,CLR為1,計(jì)數(shù)器停止計(jì)數(shù),同時(shí)CLR工作,數(shù)據(jù)清零。</p><p> 4. 24位數(shù)據(jù)鎖存模塊</p><p> 24位數(shù)據(jù)鎖存原理圖</p><p>
12、 原理:74374是三態(tài)反相八D鎖存器,有一個(gè)被測(cè)信號(hào)輸入端CLK,OEN是輸入使能端,低電平有效,所以要接地。由于要鎖存24位,則要用3片74374鎖存器,就是將6片74192輸出的測(cè)量數(shù)據(jù)都鎖存起來(lái),則將74374的八個(gè)輸入端分成2組。當(dāng)CLK為高電平時(shí)鎖存器工作,將數(shù)據(jù)鎖定,將計(jì)數(shù)器輸出的測(cè)量數(shù)據(jù)暫存起來(lái),并提供給數(shù)碼管顯示。</p><p> 5. 數(shù)碼管譯碼模塊</p><p>
13、;<b> 數(shù)碼管譯碼原理圖</b></p><p> 原理:7448是共陰數(shù)碼管,高電平驅(qū)動(dòng)。LTN是測(cè)試燈。RBIN,BIN是消影,低電平有效所以都接高電平。頻率器是要用6個(gè)共陰數(shù)碼管來(lái)顯示的,但開(kāi)發(fā)的FPGA實(shí)驗(yàn)板上有4個(gè)數(shù)碼管已經(jīng)配置好CD4511譯碼器,剩下的兩個(gè)是7位二進(jìn)制直接驅(qū)動(dòng)的,因此只需要將鎖存器輸出的4位二進(jìn)制數(shù)進(jìn)行譯碼,就選用了2個(gè)7448譯碼器,</p>
14、;<p><b> 四、結(jié)果分析</b></p><p> 各個(gè)模塊分別編譯成功后,新建一個(gè)文件夾,將各模塊有用的文件加入新建的文件夾中。然后重新建立工程,畫(huà)出電路設(shè)計(jì)總圖,編譯成功后,將原理圖中各個(gè)引腳與FPGA實(shí)驗(yàn)開(kāi)發(fā)板EP2C5T144C8芯片管腳鎖定表中相符編寫(xiě)好,再編譯一次成功后下載到實(shí)驗(yàn)開(kāi)發(fā)板進(jìn)行測(cè)試。</p><p> 測(cè)試時(shí)選擇不同
15、的頻率,使數(shù)碼管從0~999999顯示。如果數(shù)碼管顯示位置與顯示器位置不同,則問(wèn)題可能是各模塊之間連接出錯(cuò),或芯片管教編錯(cuò)。若顯示器顯示都為零,則可能是時(shí)鐘分頻模塊或時(shí)序模塊出錯(cuò),或是某處引腳沒(méi)編號(hào)。</p><p><b> 五、體會(huì)和總結(jié)</b></p><p> 一周的數(shù)電課程設(shè)計(jì)課,讓我學(xué)會(huì)了很多,使我更加了解了QuartusII_7.2軟件的功能及使用方
16、法,同時(shí)也加深了自己對(duì)數(shù)電專(zhuān)業(yè)知識(shí)方面的認(rèn)識(shí)。</p><p> 剛開(kāi)始上課時(shí),老師跟我們說(shuō)了這門(mén)課的要求是設(shè)計(jì)一個(gè)6位數(shù)字頻率計(jì),我聽(tīng)了以后覺(jué)得很難,感覺(jué)無(wú)從下手。后來(lái)照著老師的要求,先畫(huà)一個(gè)設(shè)計(jì)草圖,考慮好用那些芯片,再分別用QuartusII_7.2軟件以自底向上層次化設(shè)計(jì)的方式設(shè)計(jì)電路的每個(gè)模塊,各模塊編譯仿真成功后,再把每個(gè)模塊連接起來(lái),畫(huà)出電路總原理圖。在老師的帶領(lǐng)下,我經(jīng)過(guò)反復(fù)的練習(xí),終于把6位數(shù)
17、字頻率計(jì)設(shè)計(jì)好了。</p><p> 通過(guò)這一周的學(xué)習(xí),我認(rèn)識(shí)到要設(shè)計(jì)一種東西,不僅需要掌握一定的知識(shí),耐心和細(xì)心也是必不可少的。這次課程設(shè)計(jì),同時(shí)也加強(qiáng)了我們動(dòng)手、思考和解決問(wèn)題的能力。</p><p> 附錄一:電路設(shè)計(jì)總圖</p><p> 附錄二、50MHz變成2Hz的模塊VHDL語(yǔ)言源程序</p><p> library
18、 ieee; </p><p> use ieee.std_logic_1164.all;</p><p> use ieee.std_logic_unsigned.all;</p><p> use ieee.std_logic_arith.all;</p><p> ent
19、ity clk50Mto2Hz is </p><p> port (clk50M : in std_logic;clk2Hz: out std_logic);</p><p> end clk50Mto2Hz;</p><p> architecture aa of clk50Mto2Hz is</p><p> signal co
20、unt_5000: integer range 0 to 4999;</p><p> signal count: integer range 0 to 2500;</p><p> signal clk_10Khz,clk_2Hz :std_logic;</p><p><b> begin</b></p><p&g
21、t; process(clk50M)</p><p><b> begin</b></p><p> if clk50M'event and clk50M='1' then</p><p> if count_5000=4999 then</p><p> count_5000<=
22、0;</p><p> clk_10Khz<='0';</p><p><b> else</b></p><p> count_5000<=count_5000+1;</p><p> clk_10Khz<='1';</p><p>&l
23、t;b> end if;</b></p><p><b> end if;</b></p><p> end process;</p><p> process(clk_10Khz)</p><p><b> begin</b></p><p>
24、 if clk_10Khz'event and clk_10Khz='1' then</p><p> if count=2499 then</p><p><b> count<=0;</b></p><p> clk_2Hz<=not clk_2Hz;</p><p><
25、;b> else</b></p><p> count<=count+1;</p><p><b> end if;</b></p><p><b> end if;</b></p><p> end process;</p><p> c
溫馨提示
- 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
- 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
- 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
- 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
- 5. 眾賞文庫(kù)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
- 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
- 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。
最新文檔
- 數(shù)字頻率計(jì)課程設(shè)計(jì)
- 數(shù)字頻率計(jì)課程設(shè)計(jì)
- 課程設(shè)計(jì)--數(shù)字頻率計(jì)
- 課程設(shè)計(jì)——數(shù)字頻率計(jì)
- 數(shù)字頻率計(jì)課程設(shè)計(jì)
- 課程設(shè)計(jì)-數(shù)字頻率計(jì)
- 課程設(shè)計(jì)--數(shù)字頻率計(jì)
- 課程設(shè)計(jì)--數(shù)字頻率計(jì)
- 數(shù)字頻率計(jì)課程設(shè)計(jì)
- 數(shù)字頻率計(jì)課程設(shè)計(jì)
- 數(shù)字頻率計(jì)課程設(shè)計(jì)
- eda數(shù)字頻率計(jì)課程設(shè)計(jì)
- 數(shù)字頻率計(jì)課程設(shè)計(jì) (2)
- eda課程設(shè)計(jì)--數(shù)字頻率計(jì)
- 數(shù)字頻率計(jì)課程設(shè)計(jì)報(bào)告
- eda課程設(shè)計(jì)---數(shù)字頻率計(jì)
- 數(shù)字頻率計(jì)課程設(shè)計(jì) (2)
- 數(shù)字頻率計(jì)課程設(shè)計(jì)報(bào)告
- 數(shù)字頻率計(jì)--課程設(shè)計(jì)報(bào)告
- 課程設(shè)計(jì)---eda數(shù)字頻率計(jì)
評(píng)論
0/150
提交評(píng)論