版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進(jìn)行舉報(bào)或認(rèn)領(lǐng)
文檔簡介
1、<p><b> 本科畢業(yè)設(shè)計(jì)</b></p><p><b> ?。?0 屆)</b></p><p> 城市道路交通燈控制系統(tǒng)模型的設(shè)計(jì)</p><p> 所在學(xué)院 </p><p> 專業(yè)班級 電子信息工
2、程 </p><p> 學(xué)生姓名 學(xué)號 </p><p> 指導(dǎo)教師 職稱 </p><p> 完成日期 年 月 </p><p><b> 摘 要</b>&l
3、t;/p><p> 實(shí)現(xiàn)路口交通燈系統(tǒng)控制的方法很多, 可以用標(biāo)準(zhǔn)邏輯器件、可編程序控制器PLC、單片機(jī)等方案來實(shí)現(xiàn)。但是這些控制方法的功能修改及調(diào)試都需要硬件電路的支持,在一定程度上增加了設(shè)計(jì)難度。根據(jù)數(shù)字邏輯電路與系統(tǒng)設(shè)計(jì)進(jìn)行實(shí)踐,利用Quartus II軟件設(shè)計(jì)一個(gè)基于EDA的由一條支干道和一條主干道的匯合點(diǎn)形成的十字交叉路口的交通燈控制器,由系統(tǒng)時(shí)序發(fā)生電路模塊、紅綠燈計(jì)數(shù)時(shí)間選擇模塊、定時(shí)控制電路模塊、紅
4、綠燈信號譯碼電路模塊、轉(zhuǎn)換模塊、顯示模塊、東南方向紅綠燈控制模塊,經(jīng)過整體組裝、測試及程序來實(shí)現(xiàn)交通燈的控制功能。該燈控制邏輯可實(shí)現(xiàn)3 種顏色燈的交替點(diǎn)亮、時(shí)間的倒計(jì)時(shí),當(dāng)南北方向紅燈顯示倒計(jì)時(shí)40秒的時(shí)候,東西方向顯示35秒綠燈倒計(jì)時(shí),指揮車輛和行人安全通行。</p><p> 關(guān)鍵詞: PLC;Quartus II;狀態(tài)機(jī);EDA</p><p><b> Abstrac
5、t</b></p><p> A lot of devices, such as standard logic device, PLC (Programmable Logic Controller), single chip microcomputer, etc, can be used in the design of traffic light system.However; debugging
6、 and adjusting the circuits based on these devices require hardware supports. In some sense it complicates the design procedure. Based on digital logic circuits and systems design,use Quartus II carries on the main thor
7、oughfare areas of forming the cross intersection of traffic light controller, By the system ti</p><p> Key Words: PLC; Quartus II; state machine; EDA</p><p><b> 目 錄</b></p>
8、<p><b> 1 引言1</b></p><p><b> 2方案論證2</b></p><p><b> 2.1設(shè)計(jì)要求2</b></p><p><b> 2.2方案種類2</b></p><p> 2.2.1 基于E
9、DA的交通控制系統(tǒng)2</p><p> 2.2.2 基于單片機(jī)控制的交通控制系統(tǒng)3</p><p> 2.2.3 基于PLC的交通控制系統(tǒng)4</p><p> 2.2.4本次設(shè)計(jì)優(yōu)點(diǎn)4</p><p> 3 智能交通燈模擬系統(tǒng)總體設(shè)計(jì)5</p><p> 3.1交通燈整體設(shè)計(jì)方案5</p&g
10、t;<p> 4 交通燈各模塊設(shè)計(jì)7</p><p> 4.1系統(tǒng)時(shí)序發(fā)生電路模塊設(shè)計(jì)7</p><p> 4.2紅綠燈計(jì)數(shù)時(shí)間選擇模塊設(shè)計(jì)7</p><p> 4.3定時(shí)控制電路設(shè)計(jì)9</p><p> 4.4控制模塊設(shè)計(jì)10</p><p> 4.5轉(zhuǎn)換模塊設(shè)計(jì)12</p
11、><p> 4.6顯示模塊設(shè)計(jì)13</p><p> 4.7東南方向紅綠燈控制模塊設(shè)計(jì)13</p><p> 5 調(diào)試與分析15</p><p><b> 6 總結(jié)16</b></p><p><b> 6.1 展望16</b></p><
12、p> 致 謝錯(cuò)誤!未定義書簽。</p><p><b> 參考文獻(xiàn)17</b></p><p> 附錄1 頂層模塊圖18</p><p> 附錄2 交通燈VHDL代碼19</p><p> 附錄3 頂層設(shè)計(jì)VHDL代碼27</p><p><b> 1 引言
13、</b></p><p> 黃色信號燈的發(fā)明者是我國的胡汝鼎,他懷著“科學(xué)救國”的抱負(fù)到美國深造,在大發(fā)明家愛迪生為董事長的美國通用電器公司任職員。一天,他站在繁華的十字路口等待綠燈信號,當(dāng)他看到紅燈而正要過去時(shí),一輛轉(zhuǎn)彎的汽車呼地一聲擦身而過,嚇了他一身冷汗?;氐剿奚幔磸?fù)琢磨,終于想到在紅、綠燈中間再加上一個(gè)黃色信號燈,提醒人們注意危險(xiǎn)。他的建議立即得到有關(guān)方面的肯定。于是紅、黃、綠三色信號燈
14、即以一個(gè)完整的指揮信號家族,遍及全世界陸、海、空交通領(lǐng)域了[1]。 </p><p> 中國最早的馬路紅綠燈,是于1908年出現(xiàn)在上海的英租。從最早的手牽皮帶到20世紀(jì)50年代的電氣控制,從采用計(jì)算機(jī)控制到現(xiàn)代化的電子定時(shí)監(jiān)控,交通信號燈在科學(xué)化、自動(dòng)化上不斷地更新、發(fā)展和完善[2]。</p><p> 當(dāng)前,大量的信號燈電路正向著數(shù)字化、小功率、多樣化、方便人、車、路三者關(guān)系的協(xié)調(diào),
15、 多值化方向發(fā)展隨著社會(huì)經(jīng)濟(jì)的發(fā)展,城市交通問題越來越引起人們的關(guān)注.隨著社會(huì)的發(fā)展,城市規(guī)模的不斷擴(kuò)大,城市交通成為制約城市發(fā)展的一大因素,因此,有許多設(shè)計(jì)工作者為改善城市交通環(huán)境設(shè)計(jì)了許多方案,而大多數(shù)都為交通指揮燈,本電路也正是基于前人設(shè)計(jì)的基礎(chǔ)上進(jìn)行改進(jìn)的.全部有數(shù)字電路組成,比較以前的方案更為精確[3]。</p><p> 城市道路交叉口是城市道路網(wǎng)路的基本節(jié)點(diǎn),也是網(wǎng)絡(luò)交通流的瓶頸。目前,大部分無控
16、制交叉口都存在高峰小時(shí)車流混亂、車速緩慢、延誤情況嚴(yán)重、通行能力和服務(wù)水平低下等問題。特別是隨著城市車流量的快速增加,城市無控制道路交叉口的交通壓力越來越大。因此,做好基于EDA技術(shù)平臺的交叉口信號控制設(shè)計(jì)是緩解交通阻塞、提高城市交通交叉口車輛通行效率的有效方法[4]。</p><p><b> 2方案論證</b></p><p><b> 2.1設(shè)計(jì)要
17、求</b></p><p> (1)用兩組紅、黃、綠三種顏色燈分別作為東西,南北方向的指示燈。 </p><p> (2)變化規(guī)律:東西綠燈亮,南北紅燈亮--東西黃燈亮,南北紅燈亮--東西紅燈亮,南北綠燈亮--東西紅燈亮,南北黃燈亮--東西綠燈亮,南北紅燈亮。 </p><p> (3)主干道通行時(shí)間為35s,支干道通行時(shí)間為15s,并且時(shí)間可以修
18、改。 </p><p> (4)紅燈到綠燈,中間有黃燈提醒,時(shí)間為5s。</p><p> (5)要求具有復(fù)位的功能,同時(shí)增加自動(dòng)/手動(dòng)的功能。</p><p><b> 2.2方案種類</b></p><p> 2.2.1 基于EDA的交通控制系統(tǒng)</p><p> 該系統(tǒng)通過外部輸入
19、信號可方便地設(shè)定交通燈的延遲時(shí)間,使交通燈控制數(shù)字電路設(shè)計(jì)得到了優(yōu)化,提高了系統(tǒng)的靈活性、可靠性和可擴(kuò)展性。硬件描述語言可以實(shí)現(xiàn)綜合, 優(yōu)化, 仿真與驗(yàn)證,直到生成器件,且與硬件電路很相似, 是一個(gè)數(shù)字電路和系統(tǒng)的描述、建模、綜合的工業(yè)標(biāo)準(zhǔn), 設(shè)計(jì)起來方便, 所以采用硬件描述語言來實(shí)現(xiàn)中央控制器、邏輯判斷器、紅、黃、綠燈顯示環(huán)節(jié)功能設(shè)計(jì),系統(tǒng)框圖如圖1所示[5]</p><p> 圖1 交通控制系統(tǒng)框圖<
20、/p><p> 設(shè)計(jì)由于采用自頂向下法設(shè)計(jì)交通燈控制器,合理地處理燈時(shí)分配, 分頻, 控制顯示與編碼的相互關(guān)系,采用VHDL 語言層次化和模塊化的設(shè)計(jì)方法,減少了設(shè)計(jì)芯片的數(shù)量、減少系統(tǒng)開發(fā)周期, 降低了功耗, 可以通過改變程序或著外部輸入來控制交通燈。此設(shè)計(jì)是基于硬件描述語言VHDL,借助可編程器件完成的數(shù)字系統(tǒng)的設(shè)計(jì),顯示了可編程器件的廣闊前景,加之工藝的改進(jìn),可編程邏輯器件的集成度和速度將進(jìn)一步提高, 性能將
21、進(jìn)一步完善[6]。</p><p> 2.2.2 基于單片機(jī)控制的交通控制系統(tǒng)</p><p><b> 圖2 系統(tǒng)組成</b></p><p> 本系統(tǒng)是基于新型交通規(guī)則的由單片機(jī)控制的可編程的交通燈控制系統(tǒng)。系統(tǒng)能夠根據(jù)新型交通規(guī)則,自行控制交通燈的依次亮滅,可以通過鍵盤設(shè)定交通燈的亮滅時(shí)間,還能及時(shí)處理突然發(fā)生的緊急情況,并且可以在
22、不同時(shí)段改變交通燈的信號自動(dòng)調(diào)節(jié)車流量。系統(tǒng)的控制部分為可編程的單片機(jī)控制芯片,可以通過編程改變其運(yùn)行規(guī)則。這種采用單片機(jī)控制的模塊化設(shè)計(jì),具有可靠性高,操作簡單,功能強(qiáng)大等特點(diǎn),系統(tǒng)還采用了液晶顯示器顯示系統(tǒng)運(yùn)行的狀態(tài),具有很強(qiáng)的交互性[7] 。</p><p> 2.2.3 基于PLC的交通控制系統(tǒng)</p><p> 系統(tǒng)主要由車輛探測器、PLC、交通燈等3 部分組成,如圖1所示。
23、該系統(tǒng)具有構(gòu)成簡單,編程容易,系統(tǒng)設(shè)計(jì)和開發(fā)周期短,可靠性高,性價(jià)比好且安裝維護(hù)方便等優(yōu)點(diǎn)。特別適合于交通繁忙、無立交橋的十字路口[8]。</p><p> 圖3 智能控制系統(tǒng)組成</p><p> 運(yùn)用PLC 模糊控制實(shí)現(xiàn)的十字路口交通燈智能控制系統(tǒng)在保證系統(tǒng)穩(wěn)定可靠運(yùn)行時(shí),能根據(jù)不同的交通流量優(yōu)化信號燈的配時(shí),緩解交通擁擠,提高交通控制效率,從而有效地解決交通流量不均衡、不穩(wěn)定帶來
24、的問題[9]。</p><p> 2.2.4本次設(shè)計(jì)優(yōu)點(diǎn)</p><p> 三種方案看來,每一種方案都有它自己的優(yōu)勢,但是我覺得還是第一種的設(shè)計(jì)發(fā)案是最好的,此方案提高了系統(tǒng)的靈活性和可靠性,使交通燈控制數(shù)字電路設(shè)計(jì)得到了優(yōu)化 。</p><p> 3 智能交通燈模擬系統(tǒng)總體設(shè)計(jì)</p><p> 3.1交通燈整體設(shè)計(jì)方案</p
25、><p> 設(shè)計(jì)一個(gè)基于FPGA的紅綠燈交通信號控制器。在Quartus Ⅱ集成環(huán)境中完成程序設(shè)計(jì),計(jì)劃采用VHDL語言進(jìn)行程序的設(shè)計(jì)和開發(fā),采用模塊化方法進(jìn)行頂層的設(shè)計(jì)和開發(fā)。假設(shè)某個(gè)十字路口是由一條主干道和一條次干道匯合而成,在每個(gè)方向設(shè)置紅綠黃3種信號燈,紅燈亮禁止通行,綠燈亮允許通行。黃燈亮允許行駛中車輛有時(shí)間??康浇咕€以外[10]。</p><p> 在自動(dòng)控制模式時(shí),主干道每
26、次放行時(shí)間為35s,次干道每次放行時(shí)間為15s,主干道紅燈、次干道黃燈、主干道黃燈、次干道紅燈持續(xù)時(shí)間為5s。其外部硬件電路方面包括:兩組紅綠燈(配合十字路口的雙向指揮控制)、兩級七段顯示器(配合綠燈時(shí)倒計(jì)時(shí)顯示)、一組手動(dòng)與自動(dòng)控制開關(guān)(針對交通警察指揮交通控制使用)[11]。交通燈控制器系統(tǒng)組成方框圖如圖3-1中。</p><p> 圖3-1 交通燈控制器系統(tǒng)組成方框圖</p><p&g
27、t; 首先系統(tǒng)時(shí)序發(fā)生電路最主要的功能就是產(chǎn)生一些額外的輸出信號,它們是為紅綠燈信號譯碼電路提供的頻率為250Hz的掃描信號,為定時(shí)控制電路提供的使能(enable)控制信號,為紅綠燈信號譯碼電路提供的占空比為50%的秒閃爍信號;紅綠燈計(jì)數(shù)時(shí)間選擇模塊是負(fù)責(zé)輸出顯示器需要的值(即倒數(shù)的秒數(shù)值),作為定時(shí)控制電路(count_down circuit)的倒計(jì)數(shù)秒數(shù),在該模塊中可設(shè)置東西路口和南北路口的信號燈維持秒數(shù);定時(shí)控制電路功能就是
28、負(fù)責(zé)接收紅綠燈計(jì)數(shù)時(shí)間選擇模塊輸出的值(即倒數(shù)的秒數(shù)值),讓行人能清楚地知道再過多久就會(huì)變成紅燈;紅綠燈信號譯碼電路除了負(fù)責(zé)控制路口紅綠燈的顯示外,最主要的功能就是能夠利用開關(guān)來切換手動(dòng)與自動(dòng)的模式,讓交警能夠通過外部輸入的方式來控制紅綠燈信號系統(tǒng)的運(yùn)作,在紅綠燈交通信號系統(tǒng)中,大多數(shù)的情況是通過自動(dòng)控制的方式指揮交通的,但為了配合高峰時(shí)段,防止交通擁擠,有時(shí)還必須使用手動(dòng)控制,即讓交警自行指揮交通[12]。</p>&l
29、t;p> 本系統(tǒng)采用的是系統(tǒng)級層次化設(shè)計(jì)方法,整個(gè)系統(tǒng)主要可分為4個(gè)模塊,系統(tǒng)時(shí)序發(fā)生電路、紅綠燈計(jì)數(shù)時(shí)間選擇模塊、定時(shí)控制電路、紅綠燈信號譯碼電路。系統(tǒng)的關(guān)鍵電路用一片F(xiàn)PGA芯片實(shí)現(xiàn),首先用VHDL語言編寫各功能模塊程序,最后通過綜合器和適配器生成最終的目標(biāo)器件,然后用頂層原理圖將各功能模塊連接起來。</p><p> 4 交通燈各模塊設(shè)計(jì)</p><p> 4.1系統(tǒng)時(shí)序
30、發(fā)生電路模塊設(shè)計(jì)</p><p> 該電路模塊就是分頻電路,通過對外接信號發(fā)生器提供50MHz的時(shí)鐘信號進(jìn)行5k分頻,得到1kHz的信號源,接著得到一個(gè)周期為1s的輸出使能信號ena_1Hz和flash_1Hz;4分頻后得到紅綠燈信號譯碼電路所需的頻率為250Hz的顯示使能信號ena_scan。該模塊如圖4-1所示。</p><p> 圖4-1 系統(tǒng)時(shí)序發(fā)生模塊</p>
31、<p> 其中reset是系統(tǒng)復(fù)位信號,clk由外接信號發(fā)生器提供1kHz的時(shí)鐘信號,ena_scan為250Hz信號,ena_1Hz輸出每秒一個(gè)脈沖的信號,flash_1Hz輸出秒方波。</p><p> 該模塊的仿真電路如圖4-2所示。</p><p> 圖4-2 系統(tǒng)時(shí)序發(fā)生模塊電路仿真波形</p><p> 當(dāng)reset=1時(shí),則將輸出都清
32、零。當(dāng)reset=0,系統(tǒng)正常運(yùn)行,產(chǎn)生周期為1s的脈沖信號ena_1Hz和方波信號flash_1Hz。</p><p> 4.2紅綠燈計(jì)數(shù)時(shí)間選擇模塊設(shè)計(jì)</p><p> 當(dāng)過馬路的時(shí)候,綠燈的方向倒計(jì)時(shí)35s,紅燈的方向倒計(jì)時(shí)40s。因此,traffic_mux電路最主要的功能就是負(fù)責(zé)輸出顯示器需要的值(即倒數(shù)的秒數(shù)值),作為定時(shí)控制電路的計(jì)數(shù)秒數(shù)。模塊如圖4-3。</p&
33、gt;<p> 圖4-3紅綠燈計(jì)數(shù)時(shí)間選擇模塊</p><p> Clk由外接信號發(fā)生器提供1kHz的時(shí)鐘脈沖信號;reset是系統(tǒng)內(nèi)部復(fù)位信號;ena_scan是clk_gen電路提供250Hz的時(shí)鐘脈沖信號;recount是紅綠燈信號譯碼電路產(chǎn)生的重新計(jì)數(shù)使能控制信號;sign_state是由紅綠燈信號譯碼電路產(chǎn)生的狀態(tài)信號;系統(tǒng)輸出信號load負(fù)責(zé)產(chǎn)生計(jì)數(shù)器所需的計(jì)數(shù)數(shù)值。其中選擇輸入信號
34、sign_state是紅綠燈信號譯碼電路產(chǎn)生的4種狀態(tài)信號,狀態(tài)轉(zhuǎn)換輸出表如表1-1。</p><p> 表4-1 紅綠燈計(jì)數(shù)時(shí)間狀態(tài)轉(zhuǎn)換表</p><p> 圖4-4 紅綠燈計(jì)數(shù)時(shí)間選擇模塊仿真波形</p><p> 當(dāng)0狀態(tài)的時(shí)候,為35秒,當(dāng)1狀態(tài)的時(shí)候,為5秒,2狀態(tài)的時(shí)候?yàn)?5,3狀態(tài)為5,接著再循環(huán)。</p><p> 4
35、.3定時(shí)控制電路設(shè)計(jì)</p><p> 本模塊是一個(gè)倒計(jì)時(shí)模塊,當(dāng)給出一個(gè)1Hz的時(shí)鐘時(shí),就會(huì)減少一秒,當(dāng)計(jì)數(shù)值為0時(shí),給出一個(gè)提示信號,進(jìn)行狀態(tài)的轉(zhuǎn)換。當(dāng)過馬路的時(shí)候,綠燈的方向倒計(jì)時(shí)35s,紅燈的方向倒計(jì)時(shí)從40s開始。因此,count_down電路最主要的功能就是負(fù)責(zé)接受traffic_mux電路輸出的值(即倒數(shù)的秒數(shù)值),然后在顯示器顯示出來,讓行人能清楚地知道再過多久就會(huì)變成紅燈。定時(shí)控制模塊如圖4-
36、5。</p><p> 圖4-5 定時(shí)控制模塊</p><p> Clk是由外接信號發(fā)生器提供1kHz的時(shí)鐘脈沖信號;reset是系統(tǒng)內(nèi)部自復(fù)位信號;ena_1Hz是clk_gen電路提供1Hz的時(shí)鐘脈沖;recount是紅綠燈信號譯碼電路產(chǎn)生的從新計(jì)數(shù)的使能控制信號,如果該管腳為1,就要重新裝載新狀態(tài)的數(shù)據(jù);load負(fù)責(zé)接受計(jì)數(shù)器所需的計(jì)數(shù)數(shù)值。next_state作為狀態(tài)觸發(fā)信號,
37、當(dāng)計(jì)數(shù)器計(jì)時(shí)完畢時(shí),負(fù)責(zé)產(chǎn)生一個(gè)脈沖信號,Cnt_ff(十進(jìn)制數(shù))是一個(gè)8位的內(nèi)部信號,作為倒計(jì)時(shí)使用。</p><p> 圖4-6 定時(shí)控制模塊仿真波形</p><p> 當(dāng)recount給出一個(gè)使能控制信號,cnt_ff=34,并從該數(shù)開始倒計(jì)數(shù)到0。</p><p><b> 4.4控制模塊設(shè)計(jì)</b></p><
38、;p> 本模塊主要實(shí)現(xiàn)對兩個(gè)方向紅綠燈的交替顯示控制。在紅綠燈交通信號系統(tǒng)中,大多數(shù)的情況是通過自動(dòng)控制的方式指揮交通的。但為了配合高峰時(shí)段,阻止交通擁擠,有時(shí)還必須用手動(dòng)控制,即讓交警自行指揮交通。因此,traffic_CON電路除了負(fù)責(zé)監(jiān)控路口紅綠燈之外,最主要的功能就是能夠利用開關(guān)來切換手動(dòng)和自動(dòng)的模式,讓交警能夠通過外部輸入的方式來控制紅綠燈信號系統(tǒng)的運(yùn)作。</p><p> 該模塊的核心部分在
39、自動(dòng)控制和手動(dòng)控制下紅綠燈信號的狀態(tài)譯碼輸出及紅綠燈閃爍控制。紅綠燈信號譯碼電路模塊如圖4-7所示。</p><p> 圖4-7 紅綠燈信號譯碼控制模塊</p><p> 其中輸入信號有:clk(由clk_gen電路提供1kHz的時(shí)鐘脈沖信號),reset是系統(tǒng)內(nèi)部自復(fù)位信號、ena_scan(紅綠燈信號譯碼電路所需的頻率為250Hz的顯示使能信號)、ena_1Hz(輸出每秒一個(gè)脈沖信
40、號)、flash_1Hz(接收由clk_gen電路提供的1Hz方波信號給黃燈出現(xiàn)閃爍)、a_m手動(dòng)/自動(dòng)切換鈕輸入、在手動(dòng)模式下使用Sr_butt紅綠燈狀態(tài)切換紐(每按一次就切換一個(gè)狀態(tài));next_state(在自動(dòng)模式下接收由cont_down電路提供的狀態(tài)觸發(fā)信號)。</p><p> 輸出信號:recount(產(chǎn)生從新計(jì)數(shù)的輸出使能控制信號)、sign_state(產(chǎn)生的輸出狀態(tài)信號共2位,4種狀態(tài))、
41、(負(fù)責(zé)紅燈的顯示,共2位,4種狀態(tài))、Green(負(fù)責(zé)綠燈的顯示共2位,4種狀態(tài))、Yellow(負(fù)責(zé)黃燈的顯示共2位,4種狀態(tài))。</p><p> 設(shè)南北路口紅黃綠3色燈為r0、y0、g0,東西路口的紅黃綠3色燈為r1、y1、g1,在自動(dòng)操作和手動(dòng)操作模式信號燈顯示的正值表如表4-2、表4-3所示。</p><p> 表4-2 自動(dòng)操作模式(a_m=1)信號燈顯示的真值表<
42、;/p><p> 表4-3 手動(dòng)操作模式(a_m=0)信號燈顯示的真值表</p><p> 圖4-8 紅綠燈信號控制模塊在自動(dòng)模式下的仿真波形</p><p> 給出一個(gè)高電平,一直在變換著狀態(tài),從圖中可以看出,0到3,1到2一直在變換著。</p><p> 圖4-9 紅綠燈信號控制模塊在手動(dòng)模式下的仿真波形</p>&
43、lt;p> 從仿真波形可以看出,當(dāng)外部不按下按鍵st_butt時(shí),紅黃綠燈都不改變狀態(tài),當(dāng)按下st_butt按鍵時(shí),紅黃綠燈開始改變狀態(tài)。在波形中也可以看出,當(dāng)按下st_butt按鍵到狀態(tài)改變有一段的時(shí)間。</p><p><b> 4.5轉(zhuǎn)換模塊設(shè)計(jì)</b></p><p> 十字路口的倒計(jì)時(shí)范圍為:0-40秒,顯示的時(shí)候需要2個(gè)LED數(shù)碼管,因此本模塊
44、將計(jì)數(shù)值由二進(jìn)制轉(zhuǎn)換為十進(jìn)制,并分成個(gè)位和十位,如下圖4-10所示。</p><p> 圖4-10 轉(zhuǎn)換模塊</p><p> 圖中ten是十位輸出,one是個(gè)位輸出,interg是輸入的二進(jìn)制數(shù)。</p><p> 圖5-1 轉(zhuǎn)換模塊仿真波形</p><p> 將一個(gè)二進(jìn)制數(shù)分成十位和個(gè)位在數(shù)碼管上顯示出來。</p>
45、<p><b> 4.6顯示模塊設(shè)計(jì)</b></p><p> 顯示模塊主要將轉(zhuǎn)換來的個(gè)位和十位在共陽LED數(shù)碼管上顯示出來。如圖5-2所示顯示模塊。</p><p><b> 圖5-2顯示模塊</b></p><p> LED7S是顯示輸出,A是待顯示數(shù)據(jù)輸入,為十進(jìn)制數(shù)。</p><
46、;p> 4.7東南方向紅綠燈控制模塊設(shè)計(jì)</p><p> 該模塊主要控制東西南北方向紅綠燈閃爍,讓行人清楚的知道南面的顯示綠燈還有幾秒,東面的顯示紅燈還有幾秒。一般來說,紅燈的時(shí)間倒計(jì)時(shí)間比綠燈時(shí)間長,所以如果紅燈方向顯示40S,綠燈方向會(huì)顯示35S。如圖5-3所示。</p><p> 圖5-3 東南方向紅綠燈控制模塊</p><p> Sign_s
47、tate是由紅綠燈信號譯碼電路產(chǎn)生的狀態(tài)信號;nandeng控制南北方向紅綠燈的閃爍;dongdeng控制東西方向紅綠燈的閃爍,shuju是減法器數(shù)據(jù)。</p><p> 圖5-4 東南方向紅綠燈控制模塊仿真波形</p><p> 當(dāng)狀態(tài)0的時(shí)候,南面的紅燈從29秒開始倒計(jì)時(shí),東面的綠燈在24秒也相應(yīng)開始倒計(jì)時(shí),其中綠燈之后還有5秒的黃燈。</p><p>&l
48、t;b> 5 調(diào)試與分析</b></p><p> 本設(shè)計(jì)的全部代碼均是在QuartusⅡ6.1集成環(huán)境中開發(fā),并且QuartusⅡ6.1集成環(huán)境下進(jìn)行編輯、編譯、仿真。</p><p> 首先在QuartusⅡ6.1環(huán)境,將第四章中的各個(gè)模塊生成SYMBLE,然后用原理圖方法調(diào)入每個(gè)SYMBLE,進(jìn)行相應(yīng)的連接,頂層圖如附錄1所示。然后對頂層文件進(jìn)行編譯、仿真,以
49、確認(rèn)功能正確。最后利用元件例化的方法,將7個(gè)子電路連接起來設(shè)計(jì)頂層的VHDL文件(頂層設(shè)計(jì)的VHDL程序在附錄3),進(jìn)行編譯,仿真,再次確定本設(shè)計(jì)的可行性。在進(jìn)行編譯的時(shí)候,遇到了很多的問題,比如在寫程序的時(shí)候打錯(cuò)了字,導(dǎo)致編譯的時(shí)候出現(xiàn)錯(cuò)誤,在方老師的指導(dǎo)下和我耐心的尋找下,最終完成編譯;在仿真的時(shí)候,有時(shí)時(shí)間設(shè)置的時(shí)間太小,導(dǎo)致仿真的時(shí)候,波形看不出來是不是狀態(tài)進(jìn)行了轉(zhuǎn)換,后來對時(shí)間進(jìn)行調(diào)整,才出來結(jié)果;在頂層文件仿真的時(shí)候,還碰到
50、程序編譯正確,但是出不來轉(zhuǎn)換的狀態(tài)情況,也就是說紅綠燈狀態(tài)一直沒有改變。在對管腳進(jìn)行鎖定的時(shí)候,沒有找到PIN按鍵,所以沒有辦法進(jìn)行管腳鎖定,只能對其頂層文件進(jìn)行程序編譯,接著再進(jìn)行仿真,最后出來仿真波形,再分析結(jié)果。</p><p><b> 6 總結(jié)</b></p><p> 本次設(shè)計(jì)采用VHDL硬件描述語言作為設(shè)計(jì)手段,減少了設(shè)計(jì)芯片的數(shù)量、減少系統(tǒng)開發(fā)周期
51、, 降低了功耗, 可以通過改變程序或著外部輸入來控制交通燈。利用自頂向下的模塊化設(shè)計(jì)思路,實(shí)現(xiàn)了各模塊功能的連接,并通過在QUARTUSⅡ軟件下進(jìn)行模擬仿真,最后下載到實(shí)驗(yàn)板上進(jìn)行最終結(jié)果的測試,證明所設(shè)計(jì)的系統(tǒng)完成了交通燈控制系統(tǒng)的智能的功能,各項(xiàng)技術(shù)指標(biāo)符合預(yù)期標(biāo)準(zhǔn),具有一定的實(shí)用性。解決了利用PLC、單片機(jī)設(shè)計(jì)交通控制系統(tǒng)存在交通管理過程中系統(tǒng)不穩(wěn)定,耗費(fèi)大量的人力物力的問題。在設(shè)計(jì)過程中也碰到了很多問題,但是在老師以及同學(xué)的幫助
52、下,解決了不少麻煩。此設(shè)計(jì)結(jié)合了本人所學(xué)知識以及很多相關(guān)資料,設(shè)計(jì)中有很多不完善之處,還請各位老師予以指正。</p><p><b> 6.1 展望</b></p><p> 由于城市交通的各種矛盾不斷出現(xiàn),人們總是盡可能地把各個(gè)歷史階段當(dāng)時(shí)的最新科技成果應(yīng)用到交通自動(dòng)控制中來,從而促進(jìn)了交通燈自動(dòng)控制技術(shù)的不斷發(fā)展。FPGA芯片在整個(gè)市場的價(jià)格讓人也不由欣慰了一
53、把。FPGA器件的成本越來越低,而恰恰FPGA在通信、控制、數(shù)據(jù)計(jì)算等領(lǐng)域也得到了廣泛的應(yīng)用,利用FPGA來設(shè)計(jì)產(chǎn)品可減少電子系統(tǒng)的開發(fā)風(fēng)險(xiǎn)和開發(fā)成本;縮短上市時(shí)間;通過在系統(tǒng)編程、遠(yuǎn)程在線重構(gòu)等技術(shù)降低維護(hù)升級成本。它與傳統(tǒng)的ASIC設(shè)計(jì)比較,具有如下優(yōu)點(diǎn):設(shè)計(jì)更復(fù)雜性的產(chǎn)品;對產(chǎn)品設(shè)計(jì)更快捷性和靈活性;FPGA的成本不斷下降;隨著半導(dǎo)體制造技術(shù)的進(jìn)步,硅器件的單位面積制造成本迅速降低;目前已成為設(shè)計(jì)熱門。FPGA器件具有如此多的優(yōu)點(diǎn)
54、,用它來實(shí)現(xiàn)出租車計(jì)費(fèi)系統(tǒng)可以省去很多外圍電路,穩(wěn)定,簡單有效,可以設(shè)計(jì)出更多強(qiáng)大的功能,提高產(chǎn)品競爭力。未來基于FPGA平臺的交通燈智能系統(tǒng)控制器會(huì)有更低的成本、更小的體積、更安全、更精確和更多功能,而未來的FPGA芯片也將實(shí)現(xiàn)重復(fù)利用這個(gè)功能,在成本費(fèi)用上又更進(jìn)了一步[13]。</p><p><b> 參考文獻(xiàn)</b></p><p> [1] 王振紅.VH
55、DL數(shù)字電路設(shè)計(jì)與應(yīng)用實(shí)踐教程[M].北京:機(jī)械工業(yè)出版社,2005,11.</p><p> [2] 康華光,鄒壽彬,秦臻.電子技術(shù)基礎(chǔ)[M].北京:高等教育出版社,2006,12.</p><p> [3] 沈奇. 交通燈控制器的設(shè)計(jì)及其CPLD實(shí)現(xiàn)[J].電力科學(xué),2009(11).</p><p> [4] 黃健,譚詠梅.基于CPLD的交通控制系統(tǒng)設(shè)計(jì)
56、[J].自動(dòng)化技術(shù)與應(yīng)用,2010,29(6):22~24.</p><p> [5] 張培,陳杰,蘇品剛. 基于CPLD的智能多功能交通燈設(shè)計(jì)[J].蘇州市職業(yè)大學(xué)學(xué)報(bào),2009,20(3).</p><p> [6]劉永祥.電子警察闖紅燈前端抓拍系統(tǒng)的研究與設(shè)計(jì)[J].計(jì)算機(jī)技術(shù)與發(fā)展,2010年08月.</p><p> [7] 韓秀莉,姜學(xué)思.基于單片
57、機(jī)控制的交通控制系統(tǒng)的研究與設(shè)計(jì)[J].機(jī)械與電子,2010,9:90~90.</p><p> [8] 張志剛.FPGA與SOPC設(shè)計(jì)教程[M].西安電子科技大學(xué),2007,4.</p><p> [9] 付家才. EDA 原理與應(yīng)用[M].北京:化學(xué)工業(yè)出版社, 2001年.</p><p> [10] 黃智偉.FPGA 系統(tǒng)設(shè)計(jì)與實(shí)踐[M]. 北京: 電
58、子工業(yè)出版社,2005年.</p><p> [11] 陳琴.計(jì)算機(jī)在交通信號燈智能控制系統(tǒng)設(shè)計(jì)中的應(yīng)用.中國水運(yùn)(理論版),2007(3). </p><p> [12] PCI Industrial Computer Manufacturers Group,PICMG 3.0 Revision 2.0 AdvancedTCA Base Specification[S], March
59、 2005.</p><p> [13] 孫嵐.基于PLC的交通控制系統(tǒng)設(shè)計(jì)[J].機(jī)電信息,2010年,256(6):10~10.</p><p><b> 附錄1 頂層模塊圖</b></p><p> 附錄2 交通燈VHDL代碼</p><p> 1.系統(tǒng)時(shí)序發(fā)生電路模塊clk_gen</p>
60、<p> LIBRARY IEEE;</p><p> USE IEEE.std_logic_1164.all;</p><p> USE IEEE.std_logic_arith.all;</p><p> USE IEEE.std_logic_unsigned.all;</p><p> ENTITY clk_gen
61、IS</p><p> port(reset:in std_logic;</p><p> clk:in std_logic;</p><p> ena_scan:out std_logic;</p><p> ena_1Hz:out std_logic;</p><p> flash_1Hz:out std
62、_logic);</p><p><b> end;</b></p><p> ARCHITECTURE BEHAVIOR OF clk_gen IS</p><p> CONSTANT scan_bit:positive:=2;</p><p> CONSTANT scan_val:positive:=4;&l
63、t;/p><p> CONSTANT two_Hz_bit:positive:=7;</p><p> CONSTANT two_Hz_val:positive:=125;</p><p> signal clk_scan_ff:std_logic_vector(scan_bit-1 downto 0);</p><p> signal
64、clk_2Hz_ff:std_logic_vector(two_Hz_bit-1 downto 0);</p><p> signal ena_s,ena_one,ena_two:std_logic;</p><p><b> begin</b></p><p> scan:process(reset,clk)</p>&l
65、t;p><b> begin</b></p><p> if reset='1'then</p><p> clk_scan_ff<="00";</p><p> ena_s<='0';</p><p> elsif(clk'even
66、t and clk='1')then</p><p> if clk_scan_ff>=scan_val-1 then</p><p> clk_scan_ff<="00";</p><p> ena_s<='1';</p><p><b> else&l
67、t;/b></p><p> clk_scan_ff<=clk_scan_ff+1;</p><p> ena_s<='0';</p><p><b> end if;</b></p><p><b> end if;</b></p><
68、p> end process;</p><p> ena_scan<=ena_s;</p><p> two_Hz:process(reset,clk,ena_s)</p><p><b> begin</b></p><p> if reset='1' then</p>
69、<p> ena_one<='0';</p><p> ena_two<='0';</p><p> clk_2Hz_ff<="0000000";</p><p> elsif(clk'event and clk='1')then</p>
70、<p> if ena_s='1'then</p><p> if clk_2Hz_ff>=two_Hz_val-1 then</p><p> clk_2Hz_ff<="0000000";</p><p> ena_two<='1';</p><p>
71、 ena_one<=not ena_one;</p><p><b> else</b></p><p> clk_2Hz_ff<=clk_2Hz_ff+1;</p><p> ena_two<='0';</p><p> ena_one<=ena_one;</p&
72、gt;<p><b> end if;</b></p><p><b> end if;</b></p><p><b> end if;</b></p><p> end process;</p><p> ena_1Hz<=ena_one an
73、d ena_two and ena_s;</p><p> flash_1Hz<=ena_one;</p><p> end BEHAVIOR;</p><p> 2.紅綠燈計(jì)數(shù)時(shí)間選擇模塊traffic_mux</p><p> LIBRARY IEEE;</p><p> USE IEEE.std_
74、logic_1164.all;</p><p> USE IEEE.std_logic_unsigned.all;</p><p> USE IEEE.std_logic_arith.all;</p><p> ENTITY traffic_mux IS</p><p> port( reset,clk,ena_scan,recoun
75、t:in std_logic;</p><p> sign_state:in std_logic_vector(1 downto 0);</p><p> load:out std_logic_vector(7 downto 0));</p><p><b> end;</b></p><p> ARCHITEC
76、TURE BEHAVIOR of traffic_mux IS</p><p> CONSTANT yellow0_time:integer:=5;</p><p> CONSTANT green0_time:integer:=35;</p><p> CONSTANT yellow1_time:integer:=5;</p><p>
77、 CONSTANT green1_time:integer:=15;</p><p><b> begin</b></p><p> load_time:process(reset,clk)</p><p><b> begin</b></p><p> if reset='1
78、39;then</p><p> load<="00000000";</p><p> elsif(clk'event and clk='1')then</p><p> if(ena_scan='1'and recount='1')then</p><p&g
79、t; CASE sign_state IS</p><p> WHEN "00"=>load<=CONV_STD_LOGIC_VECTOR(green0_time,8);</p><p> WHEN "01"=>load<=CONV_STD_LOGIC_VECTOR(yellow0_time,8);</p>
80、<p> WHEN "10"=>load<=CONV_STD_LOGIC_VECTOR(green1_time,8);</p><p> WHEN OTHERS=>load<=CONV_STD_LOGIC_VECTOR(yellow1_time,8);</p><p><b> END CASE;</b>&
81、lt;/p><p><b> end if;</b></p><p><b> end if;</b></p><p> end process;</p><p> end BEHAVIOR;</p><p> 3.定時(shí)控制電路模塊count_down</p>
82、;<p> LIBRARY IEEE;</p><p> USE IEEE.std_logic_1164.all;</p><p> USE IEEE.std_logic_arith.all;</p><p> USE IEEE.std_logic_unsigned.all;</p><p> ENTITY count
83、_down IS</p><p> port( reset,clk,ena_1Hz,recount:in std_logic;</p><p> load:in std_logic_vector(7 downto 0);</p><p> next_state:out std_logic;</p><p> cnt:out integ
84、er</p><p><b> );</b></p><p><b> end;</b></p><p> ARCHITECTURE BEHAVIOR of count_down IS</p><p> signal cnt_ff:std_logic_vector(7 downto 0);&
85、lt;/p><p><b> begin </b></p><p> count:process(clk,reset)</p><p><b> begin</b></p><p> if(reset='1')then</p><p> cnt_ff&l
86、t;="00000000";</p><p> elsif(clk'event and clk='1')then</p><p> if ena_1Hz='1'then</p><p> if(recount='1')then</p><p> cnt_ff&
87、lt;=load-1;</p><p><b> else</b></p><p> cnt_ff<=cnt_ff-1;</p><p><b> end if;</b></p><p><b> end if;</b></p><p>&
88、lt;b> end if;</b></p><p> end process;</p><p> cnt<=conv_integer(cnt_ff);</p><p> next_state<='1'when cnt_ff=1 else '0';</p><p> end
89、 BEHAVIOR;</p><p> 4.紅綠燈信號譯碼電路模塊traffic_CON</p><p> LIBRARY IEEE;</p><p> USE IEEE.std_logic_1164.all;</p><p> USE IEEE.std_logic_arith.all;</p><p> U
90、SE IEEE.std_logic_unsigned.all;</p><p> ENTITY traffic_CON IS</p><p> port( reset,clk,ena_scan,ena_1Hz,flash_1Hz,a_m,st_butt,next_state:in std_logic;</p><p> recount:out std_logi
91、c;</p><p> sign_state:out std_logic_vector(1 downto 0);</p><p> red:out std_logic_vector(1 downto 0);</p><p> green:out std_logic_vector(1 downto 0);</p><p> yellow
92、:out std_logic_vector(1 downto 0));</p><p><b> end;</b></p><p> ARCHITECTURE BEHAVIOR of traffic_CON IS</p><p> type Sreg0_type is(r0g1,r0y1,g0r1,y0r1,y0y1,y0g1,g0y1,
93、r0r1);</p><p> signal state:Sreg0_type;</p><p> signal st_transfer:std_logic;</p><p> signal light:std_logic_vector(5 downto 0);</p><p><b> begin</b><
94、;/p><p> rebounce:process(reset,clk,ena_scan,st_butt)</p><p> variable rebn_ff:std_logic_vector(5 downto 0);</p><p><b> begin</b></p><p> if(st_butt='1
95、'or reset='1')then</p><p> rebn_ff:="111111";st_transfer<='0';</p><p> if(ena_scan='1')then</p><p> if(rebn_ff>=3)then</p><
96、p> rebn_ff:=rebn_ff-1;st_transfer<='0';</p><p> elsif(rebn_ff=2)then</p><p> rebn_ff:=rebn_ff-1;st_transfer<='1';</p><p><b> else</b></p&
97、gt;<p> rebn_ff:=rebn_ff-1;st_transfer<='0';</p><p><b> end if;</b></p><p><b> end if;</b></p><p><b> end if;</b></p>
98、<p> end process;</p><p> CON:process(clk,ena_1Hz,reset)</p><p><b> begin</b></p><p> if(reset='1')then</p><p> state<=r0g1;sign_stat
99、e<="01";recount<='1';</p><p><b> else</b></p><p> if(clk'event and clk='1')then</p><p> case STATE is</p><p> when r
100、0g1=></p><p> if(a_m='1'and ena_1Hz='1')then</p><p> if(next_state='1')then</p><p> recount<='1';state<=r0y1;sign_state<="01"
101、;;</p><p><b> else</b></p><p> recount<='0';state<=r0g1;</p><p><b> end if;</b></p><p> elsif(a_m='0'and ena_scan='
102、;1')then</p><p> if(st_transfer='0')then</p><p> recount<='1';state<=r0g1;</p><p><b> else</b></p><p> recount<='1'
103、;state<=r0y1;sign_state<="01";</p><p><b> end if;</b></p><p><b> end if;</b></p><p> when r0y1=></p><p> if(a_m='1
104、9;and ena_1Hz='1')then</p><p> if(next_state='1')then</p><p> recount<='1';state<=g0r1;sign_state<="10";</p><p><b> else</b>
105、;</p><p> recount<='0';state<=r0y1;</p><p><b> end if;</b></p><p> elsif(a_m='0'and ena_scan='1')then</p><p> if(st_transf
106、er='0')then</p><p> recount<='1';state<=r0y1;</p><p><b> else</b></p><p> recount<='1';state<=g0r1;sign_state<="10";&
107、lt;/p><p><b> end if;</b></p><p><b> end if;</b></p><p> when g0r1=></p><p> if(a_m='1'and ena_1Hz='1')then</p><p
108、> if(next_state='1')then</p><p> recount<='1';state<=y0r1;sign_state<="11";</p><p><b> else</b></p><p> recount<='0'
109、;state<=g0r1;</p><p><b> end if;</b></p><p> elsif(a_m='0'and ena_scan='1')then</p><p> if(st_transfer='0')then</p><p> recou
110、nt<='1';state<=g0r1;</p><p><b> else</b></p><p> recount<='1';state<=y0r1;sign_state<="11";</p><p><b> end if;</b>
111、;</p><p><b> end if;</b></p><p> when y0r1=></p><p> if(a_m='1'and ena_1Hz='1')then</p><p> if(next_state='1')then</p>
112、<p> recount<='1';state<=r0g1;sign_state<="00";</p><p><b> else</b></p><p> recount<='0';state<=y0r1;</p><p><b>
113、 end if;</b></p><p> elsif(a_m='0'and ena_scan='1')then</p><p> if(st_transfer='0')then</p><p> recount<='1';state<=y0r1;</p>&
114、lt;p><b> else</b></p><p> recount<='1';state<=r0g1;sign_state<="00";</p><p><b> end if;</b></p><p><b> end if;</b&
115、gt;</p><p> when others=></p><p> state<=r0g1;recount<='0';sign_state<="00";</p><p><b> end case;</b></p><p><b> end
116、 if;</b></p><p><b> end if;</b></p><p> end process;</p><p> light<="010010"when(state=r0g1)else</p><p> "011000"when(state
117、=r0y1)else</p><p> "100001"when(state=g0r1)else</p><p> "100100"when(state=y0r1)else</p><p><b> "110000";</b></p><p> Red&
118、lt;=light(5 downto 4);</p><p> Yellow<=light(3 downto 2)and(flash_1Hz&flash_1Hz);</p><p> Green<=light(1 downto 0);</p><p> End BEHAVIOR;</p><p> 5.轉(zhuǎn)換模塊zh
119、uanhuan</p><p> library IEEE;</p><p> use ieee.std_logic_1164.all;</p><p> use ieee.std_logic_unsigned.all;</p><p> use ieee.std_logic_arith.all;</p><p&g
120、t; entity zhuanhuan is </p><p> port(interg:in integer range 0 to 79;</p><p> ten:out std_logic_vector(3 downto 0);</p><p> one:out std_logic_vector(3 downto 0)</p><p
121、><b> );</b></p><p> end zhuanhuan;</p><p> ARCHITECTURE arch of zhuanhuan is</p><p><b> begin</b></p><p> process(interg)</p><
122、;p><b> begin</b></p><p> case interg is</p><p> when 0|10|20|30|40|50|60|70=>one<="0000";</p><p> when 1|11|21|31|41|51|61|71=>one<="00
123、01";</p><p> when 2|12|22|32|42|52|62|72=>one<="0010";</p><p> when 3|13|23|33|43|53|63|73=>one<="0011";</p><p> when 4|14|24|34|44|54|64|74=
124、>one<="0100";</p><p> when 5|15|25|35|45|55|65|75=>one<="0101";</p><p> when 6|16|26|36|46|56|66|76=>one<="0110";</p><p> when 7|1
125、7|27|37|47|57|67|77=>one<="0111";</p><p> when 8|18|28|38|48|58|68|78=>one<="1000";</p><p> when 9|19|29|39|49|59|69|79=>one<="1001";</p>
126、<p> when others =>one<="1110";</p><p><b> end case;</b></p><p> case interg is</p><p> when 0|1|2|3|4|5|6|7|8|9=>ten<="0000";&
溫馨提示
- 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
- 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
- 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
- 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
- 5. 眾賞文庫僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
- 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
- 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。
最新文檔
- 城市道路交通燈控制系統(tǒng)模型的設(shè)計(jì)【開題報(bào)告】
- 城市道路交通數(shù)學(xué)模型的研究畢業(yè)論文
- 畢業(yè)論文(設(shè)計(jì))城市交通燈控制系統(tǒng)
- 城市道路交通擁堵治理措施畢業(yè)論文
- 城市交通燈控制系統(tǒng)畢業(yè)論文
- 交通燈控制系統(tǒng)畢業(yè)論文設(shè)計(jì)
- 交通燈控制系統(tǒng)設(shè)計(jì)畢業(yè)論文
- 交通燈控制系統(tǒng)畢業(yè)論文設(shè)計(jì)
- 交通燈控制系統(tǒng)設(shè)計(jì)-畢業(yè)論文
- 畢業(yè)論文—交通燈控制系統(tǒng)
- 交通燈控制系統(tǒng)畢業(yè)論文
- 交通燈控制系統(tǒng)畢業(yè)論文
- 交通燈控制系統(tǒng)畢業(yè)論文
- 交通燈控制系統(tǒng)畢業(yè)論文
- 畢業(yè)論文 城市道路交通管理功能分類
- plc交通燈畢業(yè)論文--基于plc的交通燈控制系統(tǒng)設(shè)計(jì)
- 畢業(yè)論文---智能交通燈控制系統(tǒng)設(shè)計(jì)
- 智能交通燈控制系統(tǒng)設(shè)計(jì) 畢業(yè)論文
- 交通燈智能控制系統(tǒng)-畢業(yè)論文
- 畢業(yè)論文---交通燈控制系統(tǒng)的簡易設(shè)計(jì)
評論
0/150
提交評論