![](https://static.zsdocx.com/FlexPaper/FileRoot/2019-6/5/22/16f14771-e850-4ca9-b6e3-bd14c1be2ab6/16f14771-e850-4ca9-b6e3-bd14c1be2ab6pic.jpg)
![課程設(shè)計(jì)---eda數(shù)字頻率計(jì)_第1頁(yè)](https://static.zsdocx.com/FlexPaper/FileRoot/2019-6/5/22/16f14771-e850-4ca9-b6e3-bd14c1be2ab6/16f14771-e850-4ca9-b6e3-bd14c1be2ab61.gif)
版權(quán)說(shuō)明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)
文檔簡(jiǎn)介
1、<p> 《EDA數(shù)字頻率計(jì)》</p><p><b> 課程設(shè)計(jì)報(bào)告</b></p><p> 專 業(yè): 電子信息工程 </p><p> 班 級(jí): </p><p> 姓 名: </p><p> 學(xué) 號(hào):
2、 </p><p> 指導(dǎo)老師: </p><p> 年 月 日</p><p> EDA課程設(shè)計(jì)任務(wù)書</p><p> 一.課程設(shè)計(jì)題目:數(shù)字頻率計(jì)。</p><p> 二、設(shè)計(jì)任務(wù)及要求:</p><p> 1)設(shè)計(jì)一個(gè)能測(cè)量方波信
3、號(hào)的頻率的頻率計(jì)。</p><p> 2)測(cè)量的頻率范圍是 0~999999HZ。</p><p> 3)結(jié)果用十進(jìn)制數(shù)顯示</p><p> 4)按要求寫好設(shè)計(jì)報(bào)告(設(shè)計(jì)報(bào)告內(nèi)容包括:引言,方案設(shè)計(jì)與論證,總體設(shè)計(jì),模塊設(shè)計(jì),調(diào)試與數(shù)據(jù)分析,總結(jié))。</p><p><b> 三、教學(xué)提示:</b></p
4、><p> 1) 脈沖信號(hào)的頻率就是在單位時(shí)間內(nèi)所產(chǎn)生的脈沖個(gè)數(shù),其表達(dá)式以,在1秒時(shí)間內(nèi)計(jì)數(shù)器所記錄的結(jié)果,就是被測(cè)信號(hào)的頻率。 </p><p> 2) 被測(cè)頻率信號(hào)取自實(shí)驗(yàn)箱晶體振蕩器輸出信號(hào),加到主控門的輸入端。</p><p> 3) 再取晶體振蕩器的另一標(biāo)準(zhǔn)頻率信號(hào),經(jīng)分頻后產(chǎn)生各種時(shí)基脈沖:1ms,10ms,0.1s,1s
5、等,時(shí)基信號(hào)的選擇可以控制,即量程可以改變。</p><p> 4)時(shí)基信號(hào)經(jīng)控制電路產(chǎn)生閘門信號(hào)至主控門,只有在閘門信號(hào)采樣期間內(nèi)(時(shí)基信號(hào)的一個(gè)周期),輸入信號(hào)才通過(guò)主控門。</p><p> 5)f=N/T,改變時(shí)基信號(hào)的周期T,即可得到不同的測(cè)頻范圍。</p><p> 6)當(dāng)主控門關(guān)閉時(shí),計(jì)數(shù)器停止計(jì)數(shù),顯示器顯示記錄結(jié)果,此時(shí)控制電路輸出一個(gè)置零信
6、號(hào),將計(jì)數(shù)器和所有觸發(fā)器復(fù)位,為新的一次采樣做好準(zhǔn)備。</p><p> 7)改變量程時(shí),小數(shù)點(diǎn)能自動(dòng)移位。</p><p><b> 目 錄</b></p><p> 一:課程設(shè)計(jì)的目的 ……………………(4)</p><p> 二:硬件選擇及連接 ……………………(5)</p><
7、;p> 三:電路圖 ……………………………(6)</p><p> 3.1:頂層電路圖 …………………………(6)</p><p> 3.2:測(cè)頻模塊 ……………………………(7)</p><p> 3.3:計(jì)數(shù)模塊 ……………………………(8)</p><p> 3.4:分頻模塊 …………………………(9)&
8、lt;/p><p> 3.5:鎖存模塊 …………………………(10)</p><p> 3.6:動(dòng)態(tài)顯示模塊 ……………………(10)</p><p> 四:參考文獻(xiàn) ……………………………(11)</p><p><b> 1、設(shè)計(jì)目的</b></p><p> 《EDA課程設(shè)計(jì)》(注:E
9、DA即電子設(shè)計(jì)自動(dòng)化,Electronics Design Automation)是繼《模擬電子技術(shù)基礎(chǔ)》、《數(shù)字電子技術(shù)基礎(chǔ)》、《電子技術(shù)基礎(chǔ)實(shí)驗(yàn)》課程后,電氣類、自控類和電子類等專業(yè)學(xué)生在電子技術(shù)實(shí)驗(yàn)技能方面綜合性質(zhì)的實(shí)驗(yàn)訓(xùn)練課程,是電子技術(shù)基礎(chǔ)的一個(gè)部分,其目的和任務(wù)是通過(guò)一周的時(shí)間,讓學(xué)生掌握EDA的基本方法,熟悉一種EDA軟件(MAXPLUS2),并能利用EDA軟件設(shè)計(jì)一個(gè)電子技術(shù)綜合問(wèn)題,并在實(shí)驗(yàn)板上成功下載,為以后進(jìn)行工程
10、實(shí)際問(wèn)題的研究打下設(shè)計(jì)基礎(chǔ)。</p><p> 1.1課程設(shè)計(jì)的目的與任務(wù)</p><p> ?、?熟練掌握EDA工具軟件QuartusII的使用;</p><p> ⑵熟練用VHDL硬件描述語(yǔ)言描述數(shù)字電路;</p><p> ?、菍W(xué)會(huì)使用VHDL進(jìn)行大規(guī)模集成電路設(shè)計(jì);</p><p> ⑷學(xué)會(huì)用CPLD\F
11、PGA使用系統(tǒng)硬件驗(yàn)證電路設(shè)計(jì)的正確性;</p><p> ?、沙醪秸莆誆DA技術(shù)并具備一定的可編程邏輯芯片的開發(fā)能力;</p><p><b> 1.2實(shí)驗(yàn)儀器設(shè)備</b></p><p><b> ⑴ PC機(jī);</b></p><p> ⑵QuartusII軟件;</p>&
12、lt;p> ?、切虲PLD\FPGA實(shí)驗(yàn)開發(fā)系統(tǒng);</p><p> 2、硬件選擇及連接:</p><p> 3.1目標(biāo)芯片:ACEX1K系列EP1K30TC144-3</p><p> 3.2:引腳鎖定情況:</p><p> 3.3:選擇模式:NO.2</p><p><b> 3、設(shè)計(jì)電
13、路圖:</b></p><p> 3.1、 頂層電路圖:</p><p><b> 3.2、測(cè)頻模塊:</b></p><p> %測(cè)頻控制信號(hào)發(fā)生器</p><p> library ieee;</p><p> use ieee.std_logic_1164.all;&l
14、t;/p><p> use ieee.std_logic_unsigned.all; </p><p> entity cp is</p><p> port(clkk: in std_logic;</p><p> en,rst,load: out std_logic);</p><p&g
15、t;<b> end;</b></p><p> architecture cp_1 of cp is </p><p> signal div2: std_logic;</p><p><b> begin</b></p><p> process(clkk)</p>&l
16、t;p><b> begin</b></p><p> if(clkk'event and clkk='1') then </p><p> div2 <= not div2;</p><p><b> end if;</b></p><p> end
17、 process;</p><p> process(clkk,div2)</p><p><b> begin </b></p><p> if (clkk='0' and div2='0') then </p><p> rst <='1';</p
18、><p> else rst <='0';</p><p><b> end if;</b></p><p> end process;</p><p><b> end;</b></p><p><b> 3.3、計(jì)數(shù)模塊:</b
19、></p><p><b> %十進(jìn)制計(jì)數(shù)器</b></p><p> library ieee;</p><p> use ieee.std_logic_1164.all;</p><p> use ieee.std_logic_unsigned.all;</p><p> en
20、tity cnt10 is</p><p> port(rst,en,clk: in std_logic;</p><p> Q: out std_logic_vector(3 downto 0);</p><p> count: out std_logic);</p><p><b> end;</b><
21、/p><p> architecture lee of cnt10 is </p><p> signal cnt: std_logic_vector(3 downto 0);</p><p><b> begin</b></p><p> process(rst,en,clk)</p><p&g
22、t;<b> begin</b></p><p> if rst='1' then cnt <= "0000";</p><p> elsif(clk'event and clk='1') and en = '1' then</p><p> if
23、 cnt = "1001" then</p><p> cnt <= "0000"; count <= '1';</p><p><b> else </b></p><p> cnt <= cnt + 1;</p><p> count
24、<= '0';</p><p><b> end if;</b></p><p><b> end if;</b></p><p> end process;</p><p><b> Q <= cnt;</b></p><
25、;p><b> end;</b></p><p><b> 3.4、分頻模塊:</b></p><p><b> %分頻</b></p><p> library ieee;</p><p> use ieee.std_logic_1164.all;</p
26、><p> use ieee.std_logic_unsigned.all;</p><p> entity divid is</p><p> port(clk50: in std_logic;</p><p> qlkhz: out std_logic);</p><p><b> end;<
27、/b></p><p> architecture div of divid is</p><p><b> begin</b></p><p> K1:process(clk50)</p><p> variable cout: integer:=0;</p><p><b&
28、gt; begin</b></p><p> if(clk50'event and clk50='1') then </p><p> cout := cout + 1;</p><p> if cout <= 24999 then qlkhz <= '1';</p><
29、p> elsif cout <= 49999 then qlkhz <= '1';</p><p> else cout :=0;</p><p><b> end if;</b></p><p><b> end if;</b></p><p> end
30、 process;</p><p><b> end;</b></p><p><b> 3.5、鎖存模塊:</b></p><p> library ieee;</p><p> use ieee.std_logic_1164.all; <
31、/p><p> use ieee.std_logic_unsigned.all;</p><p> entity suocun is </p><p> port(load: in std_logic;</p><p> din : in std_logic_vector(15
32、 downto 0); </p><p> dout: out std_logic_vector(15 downto 0));</p><p><b> end;</b></p><p> architecture suo of suocun is </p><p><b> begin&
33、lt;/b></p><p> process(load,din)</p><p><b> begin</b></p><p> if (load'event and load='1') then </p><p> dout <= din;</p><p
34、><b> end if;</b></p><p> end process;</p><p><b> end;</b></p><p> 3.6動(dòng)態(tài)顯示模塊:</p><p> library ieee;</p><p> use ieee.std_lo
35、gic_1164.all;</p><p> use ieee.std_logic_unsigned.all;</p><p> entity exp is</p><p> port(q200hz: in std_logic;</p><p> bt: in std_logic_vector(1 downto 0);</p&g
36、t;<p> sg: in std_logic_vector(6 downto 0);</p><p> led0,led1,led2,led3: out std_logic_vector);</p><p><b> end;</b></p><p> architecture behav of exp is</p
37、><p><b> begin</b></p><p> process(q200hz)</p><p><b> begin</b></p><p> if(q200hz'event and q200hz='1') then </p><p>
38、 case bt is</p><p> when "00" => led0 <= sg(6 downto 0);</p><p> when "01" => led1 <= sg(6 downto 0);</p><p> when "10" => led2 <
39、= sg(6 downto 0);</p><p> when "11" => led3 <= sg(6 downto 0);</p><p> when others => null;</p><p><b> end case;</b></p><p><b>
40、 end if;</b></p><p> end process;</p><p><b> end;</b></p><p><b> 參考文獻(xiàn):</b></p><p> 高金定,《基于QuartusⅡ的FPGA/CPLD設(shè)計(jì)與實(shí)踐》,北京,電子工業(yè)出版社,2010年<
溫馨提示
- 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
- 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
- 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
- 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
- 5. 眾賞文庫(kù)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
- 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
- 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。
最新文檔
- eda課程設(shè)計(jì)--數(shù)字頻率計(jì)
- eda課程設(shè)計(jì)---數(shù)字頻率計(jì)
- 課程設(shè)計(jì)---eda數(shù)字頻率計(jì)
- eda課程設(shè)計(jì)---數(shù)字頻率計(jì)
- eda課程設(shè)計(jì)---數(shù)字頻率計(jì)
- eda課程設(shè)計(jì)---數(shù)字頻率計(jì)
- eda課程設(shè)計(jì)數(shù)字頻率計(jì)
- eda技術(shù)課程設(shè)計(jì) ---eda數(shù)字頻率計(jì)
- eda課程設(shè)計(jì)-數(shù)字頻率計(jì)的設(shè)計(jì)
- eda技術(shù)課程設(shè)計(jì)----數(shù)字頻率計(jì)
- 數(shù)字頻率計(jì)課程設(shè)計(jì)
- 數(shù)字頻率計(jì)課程設(shè)計(jì)
- 課程設(shè)計(jì)--數(shù)字頻率計(jì)
- 課程設(shè)計(jì)——數(shù)字頻率計(jì)
- 數(shù)字頻率計(jì)課程設(shè)計(jì)
- 課程設(shè)計(jì)-數(shù)字頻率計(jì)
- 課程設(shè)計(jì)--數(shù)字頻率計(jì)
- 課程設(shè)計(jì)--數(shù)字頻率計(jì)
- 數(shù)字頻率計(jì)課程設(shè)計(jì)
- 數(shù)字頻率計(jì)課程設(shè)計(jì)
評(píng)論
0/150
提交評(píng)論